研究者詳細

顔写真

クロダ リヒト
黒田 理人
Rihito Kuroda
所属
未来科学技術共同研究センター 開発研究部 革新的イメージセンサ・計測技術を基盤とした高精度半導体集積回路製造技術の開発
職名
教授
学位
  • 博士(工学)(東北大学)

  • 修士(工学)(東北大学)

e-Rad 研究者番号
40581294
Researcher ID

委員歴 70

  • 映像情報メディア学会東北支部 運営委員

    2021年6月 ~ 継続中

  • IEEE Transactions on Electron Devices Associate Editor

    2020年7月 ~ 継続中

  • 映像情報メディア学会 情報センシング研究会 委員

    2013年4月 ~ 継続中

  • 日本学術振興会 R025先進薄膜界面機能創成委員会 庶務幹事

    2020年4月 ~ 2025年3月

  • 2021 IEEE International Electron Devices Meeting Courses Chair

    2021年12月 ~ 2021年12月

  • 2020 IEEE International Electron Devices Meeting Courses Co-Chair (Tutorials)

    2019年12月 ~ 2020年12月

  • 電子情報通信学会エレクトロニクスソサイエティ研究技術会議 技術渉外幹事(先任)

    2019年6月 ~ 2020年6月

  • IS&T Electronic Imaging 2020, Image Sensors and Imaging Systems 2019 Program Committee Member

    2019年3月 ~ 2020年2月

  • 2019 International Electron Device Meeting Publicity Chair

    2019年1月 ~ 2019年12月

  • 高速度イメージングとフォトニクスに関する総合シポウム 「高速度イメージングとフォトニクスに関する総合シポウム 「高速度イメージングとフォトニクスに関する総合シポウム 2019 実行委員会委員

    2019年1月 ~ 2019年11月

  • International Conference on Solid State Devices and Materials Chair, Special Area "Advanced Circuits and Systems Interacting with Innovative Devices and Materials"

    2018年11月 ~ 2019年9月

  • 電子情報通信学会エレクトロニクスソサイエティ研究技術会議 技術渉外幹事(後任)

    2018年6月 ~ 2019年6月

  • IS&T Electronic Imaging 2019, Image Sensors and Imaging Systems 2019 Program Committee Member

    2018年3月 ~ 2019年2月

  • 2018 International Electron Device Meeting Publicity Co-Chair

    2018年1月 ~ 2018年12月

  • The 32st International Congress on High-Speed Imaging and Photonics International scientific advisory board member

    2018年2月 ~ 2018年10月

  • International Conference on Solid State Devices and Materials Chair, Special Area "Advanced Circuits and Systems Interacting with Innovative Devices and Materials"

    2017年11月 ~ 2018年9月

  • 電子情報通信学会 エレクトロニクス ソサイエティ シリコン材料・デバイス研究会 幹事

    2014年4月 ~ 2018年6月

  • 映像情報メディア学会 情報センシング研究会 委員

    2013年4月 ~ 2018年6月

  • 2017 International Electron Device Meeting Sub-committee Chair, Optoelectronics, Displays and Imagers

    2017年4月 ~ 2018年3月

  • 2017 International Electron Device Meeting Sub-committee Chair, Optoelectronics, Displays and Imagers

    2017年4月 ~ 2018年3月

  • IS&T Electronic Imaging 2018, Image Sensors and Imaging Systems 2018 Program Committee Member

    2017年3月 ~ 2018年2月

  • IS&T Electronic Imaging 2018, Image Sensors and Imaging Systems 2018 Program Committee Member

    2017年3月 ~ 2018年2月

  • International Conference on Solid State Devices and Materials Vice-chair (Area 5 Advanced Circuits and Systems)

    2017年4月 ~ 2017年9月

  • International Conference on Solid State Devices and Materials Vice-chair (Area 5 Advanced Circuits and Systems)

    2017年4月 ~ 2017年9月

  • 2016 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices General Secretary

    2017年3月 ~ 2017年8月

  • 2016 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices General Secretary

    2017年3月 ~ 2017年8月

  • 電子情報通信学会 エレクトロニクスソサイエティ英文論文誌 Special Section on Fundamentals and Applications of Advanced Semiconductor Devices Editorial Committee Member

    2016年8月 ~ 2017年5月

  • 電子情報通信学会 エレクトロニクスソサイエティ英文論文誌 Special Section on Fundamentals and Applications of Advanced Semiconductor Devices 編集委員

    2016年8月 ~ 2017年5月

  • ITE transactions on MTA, Special Section on Advanced Image Sensor Technology Associate Editor

    2016年8月 ~ 2017年4月

  • ITE transactions on MTA, Special Section on Advanced Image Sensor Technology Associate Editor

    2016年8月 ~ 2017年4月

  • The Japan Society of Applied Physics Guest Editor

    2016年10月 ~ 2017年3月

  • The Japan Society of Applied Physics Guest Editor

    2016年10月 ~ 2017年3月

  • 2016 International Electron Device Meeting Sub-committee Member, Optoelectronics, Displays and Imagers

    2016年4月 ~ 2017年3月

  • 2016 International Electron Device Meeting Sub-committee Member, Optoelectronics, Displays and Imagers

    2016年4月 ~ 2017年3月

  • IS&T Electronic Imaging 2017, Image Sensors and Imaging Systems 2017 Program Committee Member

    2016年7月 ~ 2017年2月

  • IS&T Electronic Imaging 2017, Image Sensors and Imaging Systems 2017 Program Committee Member

    2016年7月 ~ 2017年2月

  • IEEE SENSORS 2016 Program Commmittee Member

    2016年4月 ~ 2016年11月

  • The 31st International Congress on High-Speed Imaging and Photonics Organizing Committee Member, Representative Session Organizer (Session 1:High-speed Image Sensors/Cameras and Imaging Systems)

    2016年4月 ~ 2016年11月

  • IEEE SENSORS 2016 Program Commmittee Member

    2016年4月 ~ 2016年11月

  • The 31st International Congress on High-Speed Imaging and Photonics Organizing Committee Member, Representative Session Organizer (Session 1:High-speed Image Sensors/Cameras and Imaging Systems)

    2016年4月 ~ 2016年11月

  • International Conference on Solid State Devices and Materials 論文委員(Area 5 Advanced Circuits and Systems)

    2016年4月 ~ 2016年9月

  • International Conference on Solid State Devices and Materials 論文委員(Area 5 Advanced Circuits and Systems)

    2016年4月 ~ 2016年9月

  • 2016 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices General Secretary

    2016年4月 ~ 2016年7月

  • 2016 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices General Secretary

    2016年4月 ~ 2016年7月

  • 電子情報通信学会 エレクトロニクスソサイエティ英文論文誌 Special Section on Fundamentals and Applications of Advanced Semiconductor Devices 編集委員会幹事

    2015年6月 ~ 2016年5月

  • 電子情報通信学会 エレクトロニクスソサイエティ英文論文誌 Special Section on Fundamentals and Applications of Advanced Semiconductor Devices 編集委員会幹事

    2015年6月 ~ 2016年5月

  • 2015 International Electron Device Meeting Sub-Committee Member, DISPLAY and IMAGING SYSTEMS

    2015年4月 ~ 2016年3月

  • 2015 International Electron Device Meeting Sub-Committee Member, DISPLAY and IMAGING SYSTEMS

    2015年4月 ~ 2016年3月

  • IS&T Electronic Imaging 2016, Image Sensors and Imaging Systems 2016 Program Committee Member

    2015年2月 ~ 2016年2月

  • IS&T Electronic Imaging 2016, Image Sensors and Imaging Systems 2016 Program Committee Member

    2015年2月 ~ 2016年2月

  • IEEE SENSORS 2015 Track Chair (Track-4 Optical Sensors)

    2015年4月 ~ 2015年11月

  • IEEE SENSORS 2015 Track Chair (Track-4 Optical Sensors)

    2015年4月 ~ 2015年11月

  • International Conference on Solid State Devices and Materials 論文委員(Area 5 Advanced Circuits and Systems)

    2015年4月 ~ 2015年9月

  • International Conference on Solid State Devices and Materials 論文委員(Area 5 Advanced Circuits and Systems)

    2015年4月 ~ 2015年9月

  • 2015 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices Program Committee Co-Chair

    2015年4月 ~ 2015年7月

  • 2015 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices Program Committee Co-Chair

    2015年4月 ~ 2015年7月

  • 電子情報通信学会 エレクトロニクスソサイエティ英文論文誌 Special Section on Fundamentals and Applications of Advanced Semiconductor Devices 編集委員会幹事

    2014年6月 ~ 2015年5月

  • 電子情報通信学会 エレクトロニクスソサイエティ英文論文誌 Special Section on Fundamentals and Applications of Advanced Semiconductor Devices 編集委員会幹事

    2014年6月 ~ 2015年5月

  • IS&T/SPIE Electronic Imaging 2015, Image Sensors and Imaging Systems 2015 Program Committee Member

    2014年2月 ~ 2015年2月

  • IS&T/SPIE Electronic Imaging 2015, Image Sensors and Imaging Systems 2015 Program Committee Member

    2014年2月 ~ 2015年2月

  • IEEE SENSORS 2014 Track Chair (Track-4 Optical Sensors)

    2014年3月 ~ 2014年11月

  • IEEE SENSORS 2014 Track Chair (Track-4 Optical Sensors)

    2014年3月 ~ 2014年11月

  • 2014 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices Program Committee Co-Chair

    2014年4月 ~ 2014年7月

  • 2014 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices Program Committee Co-Chair

    2014年4月 ~ 2014年7月

  • 電子情報通信学会 エレクトロニクスソサイエティ英文論文誌 Special Section on Fundamentals and Applications of Advanced Semiconductor Devices 編集委員会委員

    2013年9月 ~ 2014年5月

  • 電子情報通信学会 エレクトロニクスソサイエティ英文論文誌 Special Section on Fundamentals and Applications of Advanced Semiconductor Devices 編集委員会委員

    2013年9月 ~ 2014年5月

  • 電子情報通信学会 エレクトロニクス ソサイエティ シリコン材料・デバイス研究会 幹事補佐

    2013年4月 ~ 2014年3月

  • 電子情報通信学会 エレクトロニクス ソサイエティ シリコン材料・デバイス研究会 幹事補佐

    2013年4月 ~ 2014年3月

  • 2013 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices Program Committee

    2013年4月 ~ 2013年6月

  • 2013 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices Program Committee

    2013年4月 ~ 2013年6月

︎全件表示 ︎最初の5件までを表示

所属学協会 3

  • 電子情報通信学会

  • 映像情報メディア学会

  • IEEE Electron Device Society

研究キーワード 2

  • 半導体集積回路

  • イメージセンサ

研究分野 2

  • ものづくり技術(機械・電気電子・化学工学) / 電気電子材料工学 /

  • ものづくり技術(機械・電気電子・化学工学) / 電子デバイス、電子機器 /

受賞 13

  1. 電子情報通信学会エレクトロニクスソサイエティ活動功労賞

    2021年3月 電子情報通信学会エレクトロニクスソサイエティ 技術渉外幹事としての貢献

  2. RIEC Award 東北大学研究者賞

    2021年2月 RIEC Award授賞委員会 広光波長帯域イメージセンサ技術の創出と高精度センシング応用

  3. 第19回インテリジェント・コスモス奨励賞

    2020年5月 公益財団法人インテリジェント・コスモス学術振興財団 SNR70dB超・広光波長帯域イメージセンサの創出と高精度センシング応用

  4. Arnaud Darmont Award for Best Paper

    2020年2月 IS&T International Symposium on Electronic Imaging 2020, Imaging Sensors and Systems 2020

  5. The 2016 nac High Speed Imaging Award

    2016年11月9日 International Selection Committee of 2016 nac High Speed Imaging Award for their development of an Ultra High Speed CMOS Image Sensor with improved light sensitivity that is capable of capturing 20 million frames per second with a significant reduction in power consumption. This sensor is now commercially employed in the Shi

  6. 2015 International Image Sensor Workshop, Best Poster Award

    2015年6月10日 International Image Sensor Workshop, Organizing Committee

  7. 一般社団法人映像情報メディア学会第16回・平成25年度優秀研究発表賞

    2013年12月18日 一般社団法人映像情報メディア学会 200-1000nmの広光波長帯域に感度を有する高紫外光照射耐性CMOSイメージセンサ (2013年9月研究会)

  8. SSDM2012 Young Researcher Award

    2012年9月25日 2012 年国際固体素子・材料コンファレンス(SSDM2012) On the Si Surface Flattening Effect and Gate Insulator Breakdown Characteristic

  9. 電気学会 平成23年度電子・情報・システム部門研究会 優秀論文発表賞

    2012年9月6日 電気学会 デュアルシリサイドを用いた低直列抵抗CMOSソース/ドレイン電極形成技術

  10. 第17回青葉工学研究奨励賞

    2011年12月10日 財団法人青葉工学振興会 原子オーダー平坦ゲート絶縁膜/シリコン界面を有する金属-絶縁膜-半導体デバイスの高性能化

  11. 2007 International Image Sensor Workshop Best Poster Award

    2007年6月10日 2007 International Image Sensor Workshop Analysis of Source Follower Random Telegraph Signal Using nMOS and pMOS Array TEG

  12. 東北大学 工学研究科長賞

    2007年3月27日 東北大学

  13. IEEE Electron Device Society Japan Chapter Student Award

    2006年1月15日 IEEE Electron Device Society Japan Chapter

︎全件表示 ︎最初の5件までを表示

論文 270

  1. Evaluation of Metal Contamination Behavior on Silicon Wafer Surfaces Rinsed with Deionized Water Containing pg/L-Level Impurities

    Kyohei Tsutano, Takezo Mawaki, Yasuyuki Shirai, Rihito Kuroda

    ECS Transactions 114 (1) 27-33 2024年9月27日

    出版者・発行元: The Electrochemical Society

    DOI: 10.1149/11401.0027ecst  

    ISSN:1938-5862

    eISSN:1938-6737

    詳細を見る 詳細を閉じる

    Two tests were performed to investigate the contamination behavior of bare Si wafers by rinsing them using deionized water (DIW) contaminated with metals at the pg/L-level in a single-wafer cleaning process. First, we found that Al, Ti, Mn, Co, Cu, Sr, and Pb exhibited strong correlations between the metal concentrations in DIW and the wafer surface concentrations under different concentrations in DIW and the same rinse time of 480 min. Second, we observed that the wafer surface concentrations of Al, Ti, Cu, and Pb increased with the rinse time, whereas those of Mn, Co, and Sr were constant at rinse times ranging from 10 to 960 min at metal concentrations of 60 pg/L in DIW. In this test, Cu exhibited a high adsorption ratio on bare Si wafers, even at the pg/L level. These data provide novel insights into wet processes for device manufacturing.

  2. Impedance Measurement Platform for Statistical Capacitance and Current Characteristic Measurements of Arrayed Cells with Atto-order Precision

    Koga Saito, Tatsuhiko Suzuki, Hidemi Mitsuda, Tsubasa Nozaki, Takezo Mawaki, Rihito Kuroda

    2024 IEEE 36th International Conference on Microelectronic Test Structures (ICMTS) 1-6 2024年4月15日

    出版者・発行元: IEEE

    DOI: 10.1109/icmts59902.2024.10520692  

  3. [Invited Paper] A High SNR Global Shutter CMOS Image Sensor Technology for High Precision Absorption Imaging Applications

    Tetsu Oikawa, Rihito Kuroda, Aoi Hamaya, Yoshinobu Shiba, Takafumi Inada, Yushi Sakai, Yasuyuki Shirai, Shigetoshi Sugawa

    ITE Transactions on Media Technology and Applications 12 (2) 167-174 2024年

    出版者・発行元: Institute of Image Information and Television Engineers

    DOI: 10.3169/mta.12.167  

    eISSN:2186-7364

  4. A Preliminary Demonstration of High Resolution Proximity Capacitance-Optical Multimodal CMOS Image Sensor

    Tsubasa Nozaki, Yoshiaki Watanabe, Chia-Chi Kuo, Koga Saito, Takezo Mawaki, Rihito Kuroda

    Proceedings of the International Display Workshops 1471-1471 2023年12月7日

    出版者・発行元: International Display Workshops General Incorporated Association

    DOI: 10.36463/idw.2023.1471  

    ISSN:1883-2490

  5. Visualization and Analysis of Temporal and Steady-State Gas Concentration in Process Chamber Using 70-dB SNR 1,000 fps Absorption Imaging System

    Y. Sakai, Y. Shiba, T. Inada, T. Goto, T. Suwa, T. Oikawa, A. Hamaya, A. Sutoh, T. Morimoto, Y. Shirai, S. Sugawa, R. Kuroda

    IEEE Transactions on Semiconductor Manufacturing 1-1 2023年

    出版者・発行元: Institute of Electrical and Electronics Engineers (IEEE)

    DOI: 10.1109/tsm.2023.3267024  

    ISSN:0894-6507

    eISSN:1558-2345

  6. Adsorption and surface reaction of isopropyl alcohol on SiO2 surfaces

    Takezo Mawaki, Akinobu Teramoto, Katsutoshi Ishii, Yoshinobu Shiba, Rihito Kuroda, Tomoyuki Suwa, Shuji Azumo, Akira Shimizu, Kota Umezawa, Yasuyuki Shirai, Shigetoshi Sugawa

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A 40 (5) 2022年9月

    DOI: 10.1116/6.0002002  

    ISSN:0734-2101

    eISSN:1520-8559

  7. A 70-dB SNR High-Speed Global Shutter CMOS Image Sensor for in Situ Fluid Concentration Distribution Measurements

    Tetsu Oikawa, Rihito Kuroda, Keigo Takahashi, Yoshinobu Shiba, Yasuyuki Fujihara, Hiroya Shike, Maasa Murata, Chia-Chi Kuo, Yhang Ricardo Sipauba Carvalho da Silva, Tetsuya Goto, Tomoyuki Suwa, Tatsuo Morimoto, Yasuyuki Shirai, Takafumi Inada, Yushi Sakai, Masaaki Nagase, Nobukazu Ikeda, Shigetoshi Sugawa

    IEEE Transactions on Electron Devices 69 (6) 2965-2972 2022年6月

    DOI: 10.1109/TED.2022.3165520  

    ISSN:0018-9383

    eISSN:1557-9646

  8. Two High-Precision Proximity Capacitance CMOS Image Sensors with Large Format and High Resolution

    Yuki Sugama, Yoshiaki Watanabe, Rihito Kuroda, Masahiro Yamamoto, Tetsuya Goto, Toshiro Yasuda, Hiroshi Hamori, Naoya Kuriyama, Shigetoshi Sugawa

    SENSORS 22 (7) 2770-2770 2022年4月

    DOI: 10.3390/s22072770  

    eISSN:1424-8220

  9. HDR CMOS Image Sensors for Automotive Applications

    Isao Takayanagi, Rihito Kuroda

    IEEE TRANSACTIONS ON ELECTRON DEVICES 69 (6) 2815-2823 2022年4月

    DOI: 10.1109/TED.2022.3164370  

    ISSN:0018-9383

    eISSN:1557-9646

  10. A high-precision current measurement platform applied for statistical measurement of discharge current transient spectroscopy of traps in SiN dielectrics

    Koga Saito, Hayato Suzuki, Hyeonwoo Park, Rihito Kuroda, Akinobu Teramoto, Tomoyuki Suwa, Shigetoshi Sugawa

    Japanese Journal of Applied Physics 60 (8) 086501-086501 2021年8月1日

    出版者・発行元: {IOP} Publishing

    DOI: 10.35848/1347-4065/ac1215  

  11. High capacitance density highly reliable textured deep trench SiN capacitors toward 3D integration

    Koga Saito, Ayano Yoshida, Rihito Kuroda, Hiroshi Shibata, Taku Shibaguchi, Naoya Kuriyama, Shigetoshi Sugawa

    Japanese Journal of Applied Physics 60 (SB) 2021年5月

    出版者・発行元: {IOP} Publishing

    DOI: 10.35848/1347-4065/abec5f  

    ISSN:0021-4922

    eISSN:1347-4065

  12. A Global Shutter Wide Dynamic Range Soft X-Ray CMOS Image Sensor with Backside-Illuminated Pinned Photodiode, Two-Stage Lateral Overflow Integration Capacitor, and Voltage Domain Memory Bank

    Hiroya Shike, Rihito Kuroda, Ryota Kobayashi, Maasa Murata, Yasuyuki Fujihara, Manabu Suzuki, Shoma Harada, Taku Shibaguchi, Naoya Kuriyama, Takaki Hatsui, Jun Miyawaki, Tetsuo Harada, Yuichi Yamasaki, Takeo Watanabe, Yoshihisa Harada, Shigetoshi Sugawa

    IEEE Transactions on Electron Devices 68 (4) 2056-2063 2021年4月

    出版者・発行元: Institute of Electrical and Electronics Engineers ({IEEE})

    DOI: 10.1109/TED.2021.3062576  

    ISSN:0018-9383

    eISSN:1557-9646

  13. Impact on the Conductance Method of the Asymmetry in the AC Response Induced by Interface Trap Levels

    Hsin Jyun Lin, Hiroshi Watanabe, Akinobu Teramoto, Rihito Kuroda, Kota Umezawa, Kiichi Furukawa, Shigetoshi Sugawa

    ECS Journal of Solid State Science and Technology 10 (4) 2021年4月

    DOI: 10.1149/2162-8777/abe8b5  

    ISSN:2162-8769

    eISSN:2162-8777

  14. A proposal of analog correlated multiple sampling with high density capacitors for low noise CMOS image sensors

    Shunta Kamoshita, Manabu Suzuki, Rihito Kuroda, Shigetoshi Sugawa

    IS and T International Symposium on Electronic Imaging Science and Technology 2021 (7) 2021年

    出版者・発行元: Society for Imaging Science and Technology

    DOI: 10.2352/ISSN.2470-1173.2021.7.ISS-092  

    ISSN:2470-1173

  15. An over 120 dB Single Exposure Wide Dynamic Range CMOS Image Sensor with Two-Stage Lateral Overflow Integration Capacitor

    Yasuyuki Fujihara, Maasa Murata, Shota Nakayama, Rihito Kuroda, Shigetoshi Sugawa

    IEEE Transactions on Electron Devices 68 (1) 152-157 2021年1月

    出版者・発行元: Institute of Electrical and Electronics Engineers ({IEEE})

    DOI: 10.1109/TED.2020.3038621  

    ISSN:0018-9383

    eISSN:1557-9646

  16. Modification of copper and copper oxide surface states due to isopropyl alcohol treatment toward area-selective processes

    Takezo Mawaki, Akinobu Teramoto, Katsutoshi Ishii, Yoshinobu Shiba, Rihito Kuroda, Tomoyuki Suwa, Shuji Azumo, Akira Shimizu, Kota Umezawa, Yasuyuki Shirai, Shigetoshi Sugawa

    Journal of Vacuum Science and Technology A: Vacuum, Surfaces and Films 39 (1) 2021年1月1日

    DOI: 10.1116/6.0000618  

    ISSN:0734-2101

    eISSN:1520-8559

  17. High accuracy high spatial resolution and real-time CMOS proximity capacitance image sensor technology and its applications

    Rihito Kuroda, Masahiro Yamamoto, Yuki Sugama, Yoshiaki Watanabe, Manabu Suzuki, Tetsuya Goto, Toshiro Yasuda, Shinichi Murakami, Yayoi Yokomichi, Hiroshi Hamori, Shigetoshi Sugawa

    ITE Transactions on Media Technology and Applications 9 (2) 122-127 2021年

    DOI: 10.3169/mta.9.122  

    eISSN:2186-7364

  18. A global shutter wide dynamic range soft X-ray CMOS image sensor with BSI pinned photodiode, two-stage LOFIC and voltage domain memory bank

    H. Shike, R. Kuroda, R. Kobayashi, M. Murata, Y. Fujihara, M. Suzuki, T. Shibaguchi, N. Kuriyama, J. Miyawaki, T. Harada, Y. Yamasaki, T. Watanabe, Y. Harada, S. Sugawa

    Technical Digest - International Electron Devices Meeting, IEDM 2020-December 16.4.1-16.4.4 2020年12月12日

    DOI: 10.1109/IEDM13553.2020.9372058  

    ISSN:0163-1918

  19. Over 230 fF/μm2 capacitance density 9.0V breakdown voltage textured deep trench SiN capacitors toward 3D integration 査読有り

    Koga Saito, Ayano Yoshida, Rihito Kuroda, Hiroshi Shibata, Taku Shibaguchi, Naoya Kuriyama, Shigetoshi Sugawa

    Extended Abstract of 2020 International Conference on Solid State Devices and Materials 143-144 2020年9月

  20. Influence of silicon wafer surface roughness on semiconductor device characteristics 査読有り

    Keiichiro Mori, Shuichi Samata, Noritomo Mitsugi, Akinobu Teramoto, Rihito Kuroda, Tomoyuki Suwa, Keiichi Hashimoto, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 59 2020年7月

    DOI: 10.35848/1347-4065/ab918c  

    ISSN:0021-4922

    eISSN:1347-4065

  21. Resistance Measurement Platform for Statistical Analysis of Emerging Memory Materials 査読有り

    Takeru Maeda, Yuya Omura, Rihito Kuroda, Akinobu Teramoto, Tomoyuki Suwa, Shigetoshi Sugawa

    IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING 33 (2) 232-239 2020年5月

    DOI: 10.1109/TSM.2020.2983100  

    ISSN:0894-6507

    eISSN:1558-2345

  22. Effect of Drain-to-Source Voltage on Random Telegraph Noise Based on Statistical Analysis of MOSFETs with Various Gate Shapes 査読有り

    R. Akimoto, R. Kuroda, A. Teramoto, T. Mawaki, S. Ichino, T. Suwa, S. Sugawa

    IEEE International Reliability Physics Symposium Proceedings 2020-April 1-6 2020年4月

    出版者・発行元: IEEE

    DOI: 10.1109/IRPS45951.2020.9128341  

    ISSN:1541-7026

  23. A High Near-Infrared Sensitivity Over 70-dB SNR CMOS Image Sensor With Lateral Overflow Integration Trench Capacitor 査読有り

    Maasa Murata, Rihito Kuroda, Yasuyuki Fujihara, Yusuke Otsuka, Hiroshi Shibata, Taku Shibaguchi, Yutaka Kamata, Noriyuki Miura, Naoya Kuriyama, Shigetoshi Sugawa

    IEEE TRANSACTIONS ON ELECTRON DEVICES 67 (4) 1653-1659 2020年4月

    DOI: 10.1109/TED.2020.2975602  

    ISSN:0018-9383

    eISSN:1557-9646

  24. A high-precision 1 Omega-10 M Omega range resistance measurement platform for statistical evaluation of emerging memory materials 査読有り

    Takeru Maeda, Yuya Omura, Rihito Kuroda, Akinobu Teramoto, Tomoyuki Suwa, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 59 (SG) 2020年4月

    DOI: 10.35848/1347-4065/ab6d86  

    ISSN:0021-4922

    eISSN:1347-4065

  25. High reliability CoFeB/MgO/CoFeB magnetic tunnel junction fabrication using low-damage ion beam etching 査読有り

    Hyeonwoo Park, Akinobu Teramoto, Jun-ichi Tsuchimoto, Keiichi Hashimoto, Tomoyuki Suwa, Marie Hayashi, Rihito Kuroda, Koji Tsunekawa, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 59 (SG) 401-402 2020年4月

    DOI: 10.35848/1347-4065/ab6cb5  

    ISSN:0021-4922

    eISSN:1347-4065

  26. Over 100 Million Frames per Second 368 Frames Global Shutter Burst CMOS Image Sensor with Pixel-wise Trench Capacitor Memory Array 査読有り

    Manabu Suzuki, Yuki Sugama, Rihito Kuroda, Shigetoshi Sugawa

    SENSORS 20 (4) 1086-1086 2020年2月

    DOI: 10.3390/s20041086  

    ISSN:1424-8220

    eISSN:1424-8220

  27. An over 120dB dynamic range linear response single exposure CMOS image sensor with two-stage lateral overflow integration trench capacitors

    Yasuyuki Fujihara, Maasa Murata, Shota Nakayama, Rihito Kuroda, Shigetoshi Sugawa

    IS and T International Symposium on Electronic Imaging Science and Technology 2020 (7) 2020年1月26日

    出版者・発行元: Society for Imaging Science and Technology

    DOI: 10.2352/ISSN.2470-1173.2020.7.ISS-143  

    ISSN:2470-1173

  28. Preserved Color Pixel: high-resolution and high-colorfidelity image acquisition using single image sensor with sub-half-micron pixels 査読有り

    Yuichiro Yamashita, Rihito Kuroda, Shigetoshi Sugawa

    ITE TRANSACTIONS ON MEDIA TECHNOLOGY AND APPLICATIONS 8 (3) 161-169 2020年

    DOI: 10.3169/mta.8.161  

    ISSN:2186-7364

    eISSN:2186-7364

  29. An Optical Filter-Less CMOS Image Sensor with Differential Spectral Response Pixels for Simultaneous UV-Selective and Visible Imaging 査読有り

    Yhang Ricardo Sipauba Carvalho da Silva, Rihito Kuroda, Shigetoshi Sugawa

    SENSORS 20 (1) 13-13 2020年1月

    DOI: 10.3390/s20010013  

    ISSN:1424-8220

    eISSN:1424-8220

  30. Low-Temperature Deposition of Silicon Nitride Films Using Ultraviolet-Irradiated Ammonia 査読有り

    Yoshinobu Shiba, Akinobu Teramoto, Tomoyuki Suwa, Katsutoshi Ishii, Akira Shimizu, Kota Umezawa, Rihito Kuroda, Shigetoshi Sugawa

    ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY 8 (11) P715-P718 2019年11月

    DOI: 10.1149/2.0131911jss  

    ISSN:2162-8769

    eISSN:2162-8777

  31. An Accuracy Improved Resistance Measurement Platform for Evaluation of Emerging Memory Materials 査読有り

    Takeru Maeda, Yuya Omura, Rihito Kuroda, Akinobu Teramoto, Tomoyuki Suwa, Shigetoshi Sugawa

    2019 International Conference on Solid State Devices and Materials 531-532 2019年9月

  32. A VGA Optical Filter-less CMOS Image Sensor with UV-selective and Visible Light Channels by Differential Spectral Response Pixels 査読有り

    Yhang Ricardo, Sipauba Carvalho da Silva, Rihito Kuroda, Shigetoshi Sugawa

    International Image Sensor Workshop 2019 302-305 2019年6月

  33. A Highly Robust Silicon Ultraviolet Selective Radiation Sensor Using Differential Spectral Response Method 査読有り

    Yhang Ricardo Sipauba Carvalho da Silva, Rihito Kuroda, Shigetoshi Sugawa

    SENSORS 19 (12) 2755-1-2755-14 2019年6月

    DOI: 10.3390/s19122755  

    eISSN:1424-8220

  34. Investigation of Rotating Spokes in DC Magnetron Plasma Using High speed Video Camera Over 1 Million Frames Per Second 査読有り

    Shintaro Yamazaki, Tetsuya Goto, Manabu Suzuki, Rihito Kuroda, Shigetoshi Sugawa

    The 15th International Symposium on Sputtering and Plasma Processes FS1-3-FS1-3 2019年5月

  35. A CMOS image sensor with dual pixel reset voltage for high accuracy ultraviolet light absorption spectral imaging 査読有り

    Yusuke Aoyagi, Yasuyuki Fujihara, Maasa Murata, Hiroya Shike, Rihito Kuroda, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 58 (SB) SBBL03-1-SBBL03-6 2019年4月

    DOI: 10.7567/1347-4065/aaffc1  

    ISSN:0021-4922

    eISSN:1347-4065

  36. A high-sensitivity compact gas concentration sensor using ultraviolet light absorption with a heating function for a high-precision trimethyl aluminum gas supply system 査読有り

    Hidekazu Ishii, Masaaki Nagase, Nobukazu Ikeda, Yoshinobu Shiba, Yasuyuki Shirai, Rihito Kuroda, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 58 (SB) SBBL04-1-SBBL04-6 2019年4月

    DOI: 10.7567/1347-4065/aafe69  

    ISSN:0021-4922

    eISSN:1347-4065

  37. Solid State Devices and Materials 査読有り

    Takuji Hosoi, Hiroyuki Yaguchi, Hiroyuki Kageshima, Masayuki Chikamatsu, Hirokazu Fujiwara, Mamoru Furuta, Kazuyuki Hirama, Kou Johguchi, Toshiaki Kato, Kenichi Kawaguchi, Akihiko Kikuchi, Kentaro Kinoshita, Hideki Kitada, Masaharu Kobayashi, Rihito Kuroda, Shinichiro Kuroki, Tomoko Matsudai, Takeo Minari, Hiroshi Morioka, Kosuke Nagashio, Kazuyoshi Nakada, Osamu Nakatsuka, Akira Oiwa, Hiroyuki Okada, Takafumi Okuda, Teruo Ono, Toshitsugu Sakamoto, Kenji Shiojima, Mizuki Shirao, Mayumi Takeyama, Tetsu Tanaka, Hirokazu Tatsuoka, Takehiko Tawara, Takeshi Tayagaki, Takashi Tokuda, Kunio Tsuda, Makoto Ueki, Kazuhiko Yamamoto, Wenchang Yeh

    JAPANESE JOURNAL OF APPLIED PHYSICS 58 2019年4月

    DOI: 10.7567/1347-4065/ab04f2  

    ISSN:0021-4922

    eISSN:1347-4065

  38. A 24.3Me - Full Well Capacity CMOS Image Sensor with Lateral Overflow Integration Trench Capacitor for High Precision Near Infrared Absorption Imaging 査読有り

    M. Murata, R. Kuroda, Y. Fujihara, Y. Aoyagi, H. Shibata, T. Shibaguchi, Y. Kamata, N. Miura, N. Kuriyama, S. Sugawa

    Technical Digest - International Electron Devices Meeting, IEDM 2018-December 10.3.1-10.3.4 2019年1月16日

    DOI: 10.1109/IEDM.2018.8614590  

    ISSN:0163-1918

  39. A CMOS Proximity Capacitance Image Sensor with 16μ m Pixel Pitch, 0.1aF Detection Accuracy and 60 Frames per Second 査読有り

    M. Yamamoto, R. Kuroda, M. Suzuki, T. Goto, H. Hamori, S. Murakami, T. Yasuda, S. Sugawa

    Technical Digest - International Electron Devices Meeting, IEDM 2018-December 29.1.1-29.1.4 2019年1月16日

    DOI: 10.1109/IEDM.2018.8614636  

    ISSN:0163-1918

  40. SiN<inf>x</inf> deposition at low temperature using uv-irradiated nh<inf>3</inf> 査読有り

    Y. Shiba, A. Teramoto, T. Suwa, K. Ishii, A. Shimizu, K. Umezawa, R. Kuroda, S. Sugawa

    ECS Transactions 89 (4) 31-36 2019年

    DOI: 10.1149/08904.0031ecst  

    ISSN:1938-6737

    eISSN:1938-5862

  41. Over 100 million frames per second high speed global shutter CMOS image sensor 査読有り

    R. Kuroda, M. Suzuki, S. Sugawa

    Proceedings of SPIE - The International Society for Optical Engineering 11051 2019年

    DOI: 10.1117/12.2524492  

    ISSN:0277-786X

    eISSN:1996-756X

  42. Resistance Measurement Platform for Statistical Analysis of Next Generation Memory Materials 査読有り

    Takeru Maeda, Yuya Omura, Akinobu Teramoto, Rihito Kuroda, Tomoyuki Suwa, Shigetoshi Sugawa

    2019 IEEE 32ND INTERNATIONAL CONFERENCE ON MICROELECTRONIC TEST STRUCTURES (ICMTS) 2019-March 70-75 2019年

    DOI: 10.1109/ICMTS.2019.8730955  

    ISSN:1071-9032

  43. Statistical Analysis of Threshold Voltage Variation Using MOSFETs With Asymmetric Source and Drain 査読有り

    Shinya Ichino, Akinobu Teramoto, Rihito Kuroda, Takezo Mawaki, Tomoyuki Suwa, Shigetoshi Sugawa

    IEEE ELECTRON DEVICE LETTERS 39 (12) 1836-1839 2018年12月

    DOI: 10.1109/LED.2018.2874012  

    ISSN:0741-3106

    eISSN:1558-0563

  44. Meeting matters 査読有り

    Stuart Thomas, Kirsten Moselund, Rihito Kuroda

    NATURE ELECTRONICS 1 (12) 608-609 2018年12月

    DOI: 10.1038/s41928-018-0177-y  

    ISSN:2520-1131

  45. RTS noise characterization and suppression for advanced CMOS image sensors 招待有り

    Rihito Kuroda, Shinya Ichino, Takezo Mawaki, Tomoyuki Suwa, Akinobu Teramoto, Shigetoshi Sugawa

    Proceedings of the invited talks, 4th International Workshop on Image Sensors and Imaging Systems 12-13 2018年11月

  46. High speed and narrow-bandpass liquid crystal filter for real-time multi spectral imaging systems 査読有り

    Kohei Terashima, Kazuhiro Wako, Yasuyuki Fujihara, Yusuke Aoyagi, Maasa Murata, Yosei Shibata, Shigetoshi Sugawa, Takahiro Ishinabe, Rihito Kuroda, Hideo Fujikake

    IEICE Transactions on Electronics E101C (11) 897-900 2018年11月

    DOI: 10.1587/transele.E101.C.897  

    ISSN:0916-8524

    eISSN:1745-1353

  47. High Speed Global Shutter CMOS Image Sensors Toward Over 100Mfps 査読有り

    Rihito Kuroda, Manabu Suzuki, Shigetoshi Sugawa

    Ultrafast imaging and particle tracking instrumentation and methods 2018 2018年10月

  48. Over 100Mfps high speed global shutter CMOS image sensor 招待有り

    Rihito Kuroda, Manabu Suzuki, Shigetoshi Sugawa

    32nd International Congress on High-Speed Imaging and Photonics 27-27 2018年10月

  49. Effects of Process Gases and Gate TiN Electrode during the Post Deposition Anneal to ALD-Al2O3 Dielectric Film 査読有り

    Masaya Saito, Akinobu Teramoto, Tomoyuki Suwa, Kenshi Nagumo, Yoshinobu Shiba, Rihito Kuroda, Shigetoshi Sugawa

    American Vacuum Society 65th International Symposium & Exhibition 161-161 2018年10月

  50. High Sensitivity Compact Gas Concentration Sensor with Heating Function for High Precision Trimethyl Aluminum Gas Supply System 査読有り

    Hidekazu Ishii, Masaaki Nagase, Nobukazu Ikeda, Yoshinobu Shiba, Yasuyuki Shirai, Rihito Kuroda, Shigetoshi Sugawa

    2018 International Conference on Solid State Devices and Materials 587-588 2018年9月

  51. A 125Mfps Global Shutter CMOS Image Sensor with Burst Correlated Double Sampling during Photo-Electrons Collection 査読有り

    Manabu Suzuki, Rihito Kuroda, Shigetoshi Sugawa

    2018 International Conference on Solid State Devices and Materials 593-594 2018年9月

  52. Dual Pixel Reset Voltage CMOS Image Sensor For High SNR Ultraviolet Light Absorption Spectral Imaging 査読有り

    Yusuke Aoyagi, Yasuyuki Fujihara, Maasa Murata, Hiroya Shike, Rihito Kuroda, Shigetoshi Sugawa

    2018 International Conference on Solid State Devices and Materials 595-596 2018年9月

  53. Improved Conductance Method for Interface Trap Density of ZrO2-Si interface 査読有り

    Hsin Jyun Lin, Akinobu Teramoto, Hiroshi Watanabe, Rihito Kuroda, Kota Umezawa, Kiichi Furukawa, Shigetoshi Sugawa

    2018 International Conference on Solid State Devices and Materials 865-866 2018年9月

  54. Impacts of Boron Concentration and Annealing Temperature on Electrical Characteristics of CoFeB/MgO/CoFeB Magnetic Tunnel Junction 査読有り

    Hyeonwoo Park, Akinobu Teramoto, Jun-ichi Tsuchimoto, Marie Hayashi, Keiichi Hashimoto, Rihito Kuroda, Shigetoshi Sugawa

    2018 International Conference on Solid State Devices and Materials 905-906 2018年9月

  55. Impact of atomically flat SiO2/Si interface on improvement of MOS device performance 査読有り

    Akinobu Teramoto, Tomoyuki Suwa, Rihito Kuroda, Shigetoshi Sugawa

    European Advanced Materials Congress 204 2018年8月

  56. Solid State Devices and Materials FOREWORD 査読有り

    Hiroyuki Kageshima, Takuji Hosoi, Satoshi Iwamoto, Takayuki Arie, Masahisa Fujino, Yuzo Fukuzaki, Syunta Harada, Masashi Ikegami, Noriyuki Iwamuro, Koh Johguchi, Kuniyuki Kakushima, Haruichi Kanaya, Akihiko Kikuchi, Kentaro Kinoshita, Rihito Kuroda, Yasuyoshi Kurokawa, Kenzo Maehashi, Keiichi Maekawa, Toshiharu Makino, Toshinori Matsushima, Toshitaka Miyata, Hiroshi Morioka, Hiroshi Morioka, Mariappan Murugesan, Kosuke Nagashio, Takahiro Nagata, Osamu Nakatsuka, Nobuhiko Nishiyama, Akira Oiwa, Hiroyuki Okada, Teruo Ono, Toshitsugu Sakamoto, Toshiya Sakata, Mizuki Shirao, Kazuo Sukegawa, Toshikazu Suzuki, Hidetoshi Suzuki, Tetsuya Taima, Tetsu Tanaka, Kohichi Tatsuoka, Takehiko Tawara, Kunio Tsuda, Kazuhiko Yamamoto

    JAPANESE JOURNAL OF APPLIED PHYSICS 57 (4) 2018年4月

    DOI: 10.7567/JJAP.57.04F001  

    ISSN:0021-4922

    eISSN:1347-4065

  57. Effect of drain current on appearance probability and amplitude of random telegraph noise in low-noise CMOS image sensors 査読有り

    Shinya Ichino, Takezo Mawaki, Akinobu Teramoto, Rihito Kuroda, Hyeonwoo Park, Shunichi Wakashima, Tetsuya Goto, Tomoyuki Suwa, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 57 (4) 04FF08-1-04FF08-6 2018年4月

    DOI: 10.7567/JJAP.57.04FF08  

    ISSN:0021-4922

    eISSN:1347-4065

  58. Experimental investigation of localized stress-induced leakage current distribution in gate dielectrics using array test circuit 査読有り

    Hyeonwoo Park, Akinobu Teramoto, Rihito Kuroda, Tomoyuki Suwa, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 57 (4) 04FE11-1-04FE11-5 2018年4月

    DOI: 10.7567/JJAP.57.04FE11  

    ISSN:0021-4922

    eISSN:1347-4065

  59. A preliminary chip evaluation toward over 50Mfps burst global shutter stacked CMOS image sensor 査読有り

    Manabu Suzuki, Masashi Suzuki, Rihito Kuroda, Shigetoshi Sugawa

    IS and T International Symposium on Electronic Imaging Science and Technology 3981-3984 2018年

    DOI: 10.2352/ISSN.2470-1173.2018.11.IMSE-398  

    eISSN:2470-1173

  60. A Multi Spectral Imaging System with a 71dB SNR 190-1100 nm CMOS Image Sensor and an Electrically Tunable Multi Bandpass Filter 査読有り

    Yasuyuki Fujihara, Yusuke Aoyagi, Maasa Murata, Satoshi Nasuno, Shunichi Wakashima, Rihito Kuroda, Kohei Terashima, Takahiro Ishinabe, Hideo Fujikake, Kazuhiro Wako, Shigetoshi Sugawa

    ITE TRANSACTIONS ON MEDIA TECHNOLOGY AND APPLICATIONS 6 (3) 187-194 2018年

    DOI: 10.3169/mta.6.187  

    ISSN:2186-7364

    eISSN:2186-7364

  61. Impacts of Random Telegraph Noise with Various Time Constants and Number of States in Temporal Noise of CMOS Image Sensors 査読有り

    Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa

    ITE TRANSACTIONS ON MEDIA TECHNOLOGY AND APPLICATIONS 6 (3) 171-179 2018年

    DOI: 10.3169/mta.6.171  

    ISSN:2186-7364

    eISSN:2186-7364

  62. Statistical Analyses of Random Telegraph Noise in Pixel Source Follower with Various Gate Shapes in CMOS Image Sensor 査読有り

    Shinya Ichino, Takezo Mawaki, Akinobu Teramoto, Rihito Kuroda, Shunichi Wakashima, Tomoyuki Suwa, Shigetoshi Sugawa

    ITE TRANSACTIONS ON MEDIA TECHNOLOGY AND APPLICATIONS 6 (3) 163-170 2018年

    DOI: 10.3169/mta.6.163  

    ISSN:2186-7364

    eISSN:2186-7364

  63. High-speed multi-bandpass liquid-crystal filter using dual-frequency liquid crystal for real-time spectral imaging system 査読有り

    Takahiro Ishinabe, Kohei Terashima, Kazuhiro Wako, Yasuyuki Fujihara, Yusuke Aoyagi, Maasa Murata, Satoshi Nasuno, Shunichi Wakashima, Rihito Kuroda, Yosei Shibata, Shigetoshi Sugawa, Hideo Fujikake

    International Display Workshops 10555-29-10555-29 2018年1月

  64. A High Sensitivity and Compact Real Time Gas Concentration Sensor for Semiconductor and Electronic Device Manufacturing Process 査読有り

    Hidekazu Ishii, Masaaki Nagase, Nobukazu Ikeda, Yoshinobu Shiba, Yasuyuki Shirai, Rihito Kuroda, Shigetoshi Sugawa

    SELECTED PROCEEDINGS FROM THE 233RD ECS MEETING 85 (13) 1399-1405 2018年

    DOI: 10.1149/08513.1399ecst  

    ISSN:1938-5862

    eISSN:1938-6737

  65. Narrow-Bandpass Liquid Crystal Filter for Real-Time Multi Spectral Imaging Systems 査読有り

    Kohei Terashima, Takahiro Ishinabe, Kazuo Wako, Yasuyuki Fujihara, Yusuke Aoyagi, Maasa Murata, Satoshi Nasuno, Shunichi Wakashima, Rihito Kuroda, Yosei Shibata, Shigetoshi Sugawa, Hideo Fujikake

    International Display Workshops 259-261 2017年12月

  66. Formation technology of flat surface with epitaxial growth on ion-implanted (100)-oriented Si surface of thin silicon-on-insulator 査読有り

    Kiichi Furukawa, Akinobu Teramoto, Rihito Kuroda, Tomoyuki Suwa, Keiichi Hashimoto, Shigetoshi Sugawa, Daisuke Suzuki, Yoichiro Chiba, Katsutoshi Ishii, Akira Shimizu, Kazuhide Hasebe

    JAPANESE JOURNAL OF APPLIED PHYSICS 56 (10) 105503-1-105503-8 2017年10月

    DOI: 10.7567/JJAP.56.105503  

    ISSN:0021-4922

    eISSN:1347-4065

  67. Analysis of Random Telegraph Noise Behaviors of nMOS and pMOS toward Back Bias Voltage Changing 査読有り

    Takezo Mawaki, Akinobu Teramoto, Rihito Kuroda, Shinya Ichino, Shigetoshi Sugawa

    Extended Abstracts of the 2017 International Conference on Solid State Devices and Materials 333-334 2017年9月22日

  68. Impact of Drain Current to Appearance Probability and Amplitude of Random Telegraph Noise in Low Noise CMOS Image Sensors 査読有り

    Shinya Ichino, Takezo Mawaki, Akinobu Teramoto, Rihito Kuroda, Hyeonwoo Park, Takeru Maeda, Shunichi Wakashima, Tetsuya Goto, Tomoyuki Suwa, Shigetoshi Sugawa

    Extended Abstracts of the 2017 International Conference on Solid State Devices and Materials 331-332 2017年9月22日

  69. Experimental Investigation of Localized Stress Induced Leakage Current Distribution in Gate Dielectrics Using Array Test Circuit 査読有り

    Hyeonwoo Park, Tomoyuki Suwa, Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa

    Extended Abstracts of the 2017 International Conference on Solid State Devices and Materials 785-786 2017年9月21日

  70. Hole-Trapping Process at Al2O3/GaN Interface Formed by Atomic Layer Deposition 査読有り

    Akinobu Teramoto, Masaya Saito, Tomoyuki Suwa, Tetsuo Narita, Rihito Kuroda, Shigetoshi Sugawa

    IEEE ELECTRON DEVICE LETTERS 38 (9) 1309-1312 2017年9月

    DOI: 10.1109/LED.2017.2734914  

    ISSN:0741-3106

    eISSN:1558-0563

  71. Improvement in Electrical Characteristics of ALD Al2O3 Film by Microwave Excited Ar/O2 Plasma Treatment 査読有り

    Masaya Saito, Tomoyuki Suwa, Akinobu Teramoto, Yasumasa Koda, Rihito Kuroda, Yoshinobu Shiba, Shigetoshi Sugawa, Junichi Tsuchimoto, Marie Hayashi

    232nd ECS Meeting Abstracts MA2017-01 1249-1249 2017年6月

  72. 10Mfps 960 Frames Video Capturing Using a UHS Global Shutter CMOS Image Sensor with High Density Analog Memories 査読有り

    Manabu Suzuki, Masashi Suzuki, Rihito Kuroda, Yuki Kumagai, Akira Chiba, Noriyuki Miura, Naoya Kuriyama, Shigetoshi Sugawa

    2017 International Image Sensor Workshop 308-311 2017年5月31日

  73. Statistical Analysis of Random Telegraph Noise in Source Follower Transistors with Various Shapes 査読有り

    Shinya Ichino, Takezo Mawaki, Shunichi Wakashima, Akinobu Teramoto, Rihito Kuroda, Phillipe Gaubert, Tetsuya Goto, Tomoyuki Suwa, Shigetoshi Sugawa

    2017 International Image Sensor Workshop 39-42 2017年5月30日

  74. Impact of Random Telegraph Noise with Various Time Constants and Number of States in CMOS Image Sensors 査読有り

    Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa

    2017 International Image Sensor Workshop 43-46 2017年5月30日

  75. A Spectral Imaging System with an Over 70dB SNR CMOS Image Sensor and Electrically Tunable 10nm FWHM Multi-Bandpass Filter 査読有り

    Yasuyuki Fujihara, Yusuke Aoyagi, Satoshi Nasuno, Shunichi Wakashima, Rihito Kuroda, Kohei Terashima, Takahiro Ishinabe, Hideo Fujikake, Kazuhiro Wako, Shigetoshi Sugawa

    2017 International Image Sensor Workshop 47-50 2017年5月30日

  76. An over 1Mfps global shutter CMOS image sensor with 480 frame storage using vertical analog memory integration 査読有り

    M. Suzuki, M. Suzuki, R. Kuroda, Y. Kumagai, A. Chiba, N. Miura, N. Kuriyama, S. Sugawa

    Technical Digest - International Electron Devices Meeting, IEDM 8.5.1-8.5.4 2017年1月31日

    出版者・発行元: Institute of Electrical and Electronics Engineers Inc.

    DOI: 10.1109/IEDM.2016.7838376  

    ISSN:0163-1918

  77. 190-1100 nm Waveband multispectral imaging system using high light resistance wide dynamic range CMOS image sensor 査読有り

    Yasuyuki Fujihara, Satoshi Nasuno, Shunichi Wakashima, Yusuke Aoyagi, Rihito Kuroda, Shigetoshi Sugawa

    Proceedings of IEEE Sensors 283-285 2017年1月5日

    DOI: 10.1109/ICSENS.2016.7808492  

    ISSN:1930-0395

    eISSN:2168-9229

  78. Narrow-bandpass liquid crystal filter for real-time multi spectral imaging systems 査読有り

    Kohei Terashima, Takahiro Ishinabe, Kazuhiro Wako, Yasuyuki Fujihara, Yusuke Aoyagi, Maasa Murata, Satoshi Nasuno, Shunichi Wakashima, Rihito Kuroda, Yosei Shibata, Shigetoshi Sugawa, Hideo Fujikake

    Proceedings of the International Display Workshops 1 259-261 2017年

    ISSN:1883-2490

  79. High sensitivity and high readout speed electron beam detector using steep pn junction Si diode for low acceleration voltage 査読有り

    Yasumasa Koda, Rihito Kuroda, Masaya Hara, Hiroyuki Tsunoda, Shigetoshi Sugawa

    IS and T International Symposium on Electronic Imaging Science and Technology 11 14-17 2017年

    出版者・発行元: Society for Imaging Science and Technology

    DOI: 10.2352/ISSN.2470-1173.2017.11.IMSE-178  

    ISSN:2470-1173

    eISSN:2470-1173

  80. A high sensitivity 20Mfps CMOS image sensor with readout speed of 1Tpixel/sec for visualization of ultra-high speed phenomena 査読有り

    R. Kuroda, S. Sugawa

    Proceedings of SPIE - The International Society for Optical Engineering 10328 1032802-1-1032802-6 2017年

    DOI: 10.1117/12.2270787  

    ISSN:0277-786X

    eISSN:1996-756X

  81. Impact of SiO2/Si Interface Micro-roughness on SILC Distribution and Dielectric Breakdown: A Comparative Study with Atomically Flattened Devices 査読有り

    Hyeonwoo Park, Tetsuya Goto, Rihito Kuroda, Akinobu Teramoto, Tomoyuki Suwa, Daiki Kimoto, Shigetoshi Sugawa

    2017 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM (IRPS) DG7.1-DG7.5 2017年

    DOI: 10.1109/IRPS.2017.7936364  

    ISSN:1541-7026

  82. Atomically flat interface for noise reduction in SOI-MOSFETs 査読有り

    Philippe Gaubert, Alexandre Kircher, Hyeonwoo Park, Rihito Kuroda, Shigetoshi Sugawa, Tetsuya Goto, Tomoyuki Suwa, Akinobu Teramoto

    2017 INTERNATIONAL CONFERENCE ON NOISE AND FLUCTUATIONS (ICNF) 7985986-1-7985986-4 2017年

    DOI: 10.1109/ICNF.2017.7985986  

    ISSN:2575-5587

    eISSN:2575-5595

  83. A High Sensitivity 20Mfps CMOS Image Sensor with Readout speed of 1Tpixel/sec for Visualization of Ultra-high Speed Phenomena 招待有り 査読有り

    Rihito Kuroda, Shigetoshi Sugawa

    Proceedings of the 31st International Congress on High-speed Imaging and Photonics 68-73 2016年11月9日

  84. Formation Technology of Flat Surface after Selective Epitaxial Growth on Ion-Implanted (100) Oriented Thin SOI Wafers 査読有り

    Kiichi Furukawa, Akinobu Teramoto, Rihito Kuroda, Tomoyuki Suwa, Keiichi Hashimoto, Shigetoshi Sugawa, Daisuke Suzuki, Yoichiro Chiba, Katsutoshi Ishii, Akira Shimizu, Kazuhide Hasebe

    Extended Abstracts of the 2016 International Conference on Solid State Devices and Materials 649-650 2016年9月28日

  85. On-Chip Optical Filter Technology with Low Extinction Coefficient SiN for Ultraviolet-Visible-Near Infrared Light Waveband Spectral Imaging 査読有り

    Yasumasa Koda, Yhang Ricardo, Sipauba Carvalho, da Silva, Loïc Julien, Daisuke Sawada, Tetsuya Goto, Rihito Kuroda, Shigetoshi Sugawa

    2016 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 418-422 2016年7月4日

  86. Advanced CMOS Image Sensors Development for High Sensitivity, High Speed and Wide Spectral Response 招待有り

    Rihito Kuroda, Shigetoshi Sugawa

    International Workshop on Radiation Resistant Sensors and Related Technologies for Nuclear Power Plant 44-44 2016年4月20日

  87. Low Leakage Current Al2O3 Metal-Insulator-Metal Capacitors Formed By Atomic Layer Deposition at Optimized Process Temperature and O2 Post Deposition Annealing

    Yasumasa Koda, Hisaya Sugita, Tomoyuki Suwa, Rihito Kuroda, Tetsuya Goto, Akinobu Teramoto, Shigetoshi Sugawa

    ECS Meeting Abstracts MA2016-01 (23) 1174-1174 2016年4月1日

    出版者・発行元: The Electrochemical Society

    DOI: 10.1149/ma2016-01/23/1174  

    eISSN:2151-2043

    詳細を見る 詳細を閉じる

    Introduction In integrated circuits, Metal-Insulator-Metal (MIM) capacitor is the key passive element for filtering, decoupling and oscillating, and so on. Followings are especially important requirements; high capacitance density, low leakage current density [1]. To meet these requirements, various high-k materialshave been investigated for dielectric materials of MIM capacitors [2,3].  Atomic layer deposition (ALD) is one of the most promising methods. It is considered that the temperature of ALD is one of important parameter in relation to the supply of Trimethylaluminum (TMA) for Al2O3. A recent study reported that an excessive high temperature may cause decomposition of TMA and the substrate material must not be oxidized during the oxidation process. H2O oxidation at stage temperature of 75 °C is shown to be effective because the oxidation ability of H2O becomes low, so it is effective to form the high quality interface of Al2O3/metal. Then, it is expected to be effective to improve Al2O3 film quality by post deposition annealing (PDA). In this paper, using the developed ALD process equipment, Al2O3 film was deposited by H2O oxidation ALD at stage temperature of 75C°. The impact of the annealing on the electrical characteristics of MIM and metal-insulator-silicon (MIS) capacitors was investigated. Experiment Figures 1 and 2 show the process flow and the cross sectional schematic image of the fabricated MIM capacitors, respectively. After n-type (100) Si wafers Cleaning, SiO2 films were formed by thermal wet oxidation at 1000°C. Next, bottom TiN electrodes were formed by DC sputtering at 1kW(2.5W/cm2) with Ar/N2 flow at 300°C. To form active region, SiO2 films were deposited by atmospheric pressure chemical vapor deposition with SiH4/O2/N2 mixed gases at 400°C and the wet etching was carried out. Al2O3 films were deposited by ALD. TMA was supplied at 50°C to the chamber with the high temperature flow control system (HT-FCS) [5]. After the four times cyclic purge, H2O gas was supplied to the chamber and then TMA was oxidized to Al2O3 film. At this time, the pressure of the chamber was 133Pa and the wafer stage was 75°C. At this process condition, Al2O3 growth rate per cycle was 0.35nm/cycle nearly equaled to the thickness of mono-layer Al2O3 and high uniformity of Al2O3 film thickness in the Si-wafer was obtained [4]. In this work, 40 cycles were carried out. Next, the three types of PDA conditions were applied to investigate the effect of PDA to improve Al2O3 films: (1) without annealing; (2) O2 annealing at 400°C for 30min; (3) N2 annealing at 400°C for 30min. Finally, the top Al electrode was formed by high vacuum vaporization. As reference samples, MIS with three types of Al2O3 dielectric were prepared on n-type (100) Si wafers. Result and Discussion Figure 3 shows the leakage current density of MIM capacitors with the area of 1.0×10-4cm2 as a function of applied voltage. The thickness of Al2O3 films for without annealing, O2 annealing and N annealing were 13.7, 11.0 and 11.2nm, respectively. Here these films thickness were measured with the reference Al2O3 samples deposited on Si wafers by spectroscopic ellipsometry. Regarding the measurement conditions of leakage current, the delay time at each applied bias was set to 40sec to eliminate the transition and displacement current to accurately measure the quality of Al2O3 films. Figure 4 shows the capacitance density of MIM capacitors with the area of 1.0×10-4cm2 as a function of applied voltage at a frequency of 100kHz. As a result, the leakage current density and  the capacitance density at 1V for without annealing, O2 annealing and N2 annealing were 7.2×10-10 and ,1.2×10-10 and 1.5×10-10 A/cm-2 and 5.7, 6.8and 6.3 fF/um-2, respectively. Figure 6 shows the comparison result on capacitance density and leakage current between this work and reported MIM capacitors with various materials. From this result, the leakage current density of both of MIM and MIS using Al2O3 without the annealing were about the same level as previous works. The reduction of the leakage current and increase of capacitance density were confirmed especially for the O2 annealing and the superior characteristics in comparison to the previous works were successfully obtained. Furthermore, by measuring C-V characteristic of MIS as reference samples, the absolute value of the fixed charge density of Al2O3 film was reduced by 2.04×1012cm2 by both O2 and N2 annealing processes. Therefore, O2 annealing at 400°C is considered to be an effective PDA for the formed Al2O3 film. In conclusion, by O2 annealing at 400°C for Al2O3 film deposited by H2O oxidation ALD at relatively low temperature of 75°C is effective process sequence to achieve low leakage current MIM capacitors. Reference [1]Chit Hwei Ng, et al.,  IEEE Trans, vol.52(2005) 1399-1408 [2]S. Becu, et al., Microelectronic Eng., vol.83(2006) 2422 [3]Sang-UK Park, et al., Microelectronic Eng., vol.88(2011) 3389-3392 [4]Hisaya Sugita, et al., ECS Trans, vol.66 (2015) 305-314 [5]Michio Yamaji, et al., ECS Trans, vol.45 (2012) 429 <p></p> Figure 1 <p></p>

  88. Proposal of tunneling- and diffusion-current hybrid MOSFET: A device simulation study 査読有り

    Kiichi Furukawa, Akinobu Teramoto, Rihito Kuroda, Tomoyuki Suwa, Keiichi Hashimoto, Takashi Kojiri, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 55 (4) 04ED12-1-04ED12-7 2016年4月

    DOI: 10.7567/JJAP.55.04ED12  

    ISSN:0021-4922

    eISSN:1347-4065

  89. Analysis and reduction of leakage current of 2 kV monolithic isolator with wide trench spiral isolation structure 査読有り

    Yusuke Takeuchi, Rihito Kuroda, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 55 (4) 04EF07-1-04EF07-5 2016年4月

    DOI: 10.7567/JJAP.55.04EF07  

    ISSN:0021-4922

    eISSN:1347-4065

  90. Wide dynamic range LOFIC CMOS image sensors: principle, achievements and extendibility 招待有り 査読有り

    Rihito Kuroda, Shigetoshi Sugawa

    International Forum on Detectors for Photon Science 9 2016年2月

  91. A CMOS image sensor with 240 μv/e- conversion gain, 200 ke- full well capacity, 190-1000 nm spectral response and high robustness to UV light 査読有り

    Nasuno S, Wakashima S, Kusuhara F, Kuroda R, Sugawa S

    ITE Transactions on Media Technology and Applications 4 (2) 116-122 2016年

  92. Introduction of Atomically Flattening of Si Surface to Large-Scale Integration Process Employing Shallow Trench Isolation 査読有り

    Tetsuya Goto, Rihito Kuroda, Naoya Akagawa, Tomoyuki Suwa, Akinobu Teramoto, Xiang Li, Toshiki Obara, Daiki Kimoto, Shigetoshi Sugawa, Yutaka Kamata, Yuki Kumagai, Katsuhiko Shibusawa

    ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY 5 (2) P67-P72 2016年

    DOI: 10.1149/2.0221602jss  

    ISSN:2162-8769

    eISSN:2162-8777

  93. Random Telegraph Noise Measurement and Analysis based on Arrayed Test Circuit toward High S/N CMOS Image Sensors 査読有り

    Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa

    2016 INTERNATIONAL CONFERENCE ON MICROELECTRONIC TEST STRUCTURES (ICMTS) 2016-May 46-51 2016年

    DOI: 10.1109/ICMTS.2016.7476172  

    ISSN:1071-9032

  94. Analysis and reduction technologies of floating diffusion capacitance in CMOS image sensor for photon-countable sensitivity 査読有り

    Fumiaki Kusuhara, Shunichi Wakashima, Satoshi Nasuno, Rihito Kuroda, Shigetoshi Sugawa

    ITE Transactions on Media Technology and Applications 4 (2) 91-98 2016年

    出版者・発行元: Institute of Image Information and Television Engineers

    DOI: 10.3169/mta.4.91  

    ISSN:2186-7364

    eISSN:2186-7364

  95. Floating capacitor load readout operation for small, low power consumption and high S/N Ratio CMOS image sensors 査読有り

    Shunichi Wakashima, Fumiaki Kusuhara, Rihito Kuroda, Shigetoshi Sugawa

    ITE Transactions on Media Technology and Applications 4 (2) 99-108 2016年

    出版者・発行元: Institute of Image Information and Television Engineers

    DOI: 10.3169/mta.4.99  

    ISSN:2186-7364

    eISSN:2186-7364

  96. A high quantum efficiency high readout speed 1024 pixel ultraviolet-visible-near infrared waveband photodiode array 査読有り

    Rihito Kuroda, Takahiro Akutsu, Yasumasa Koda, Kenji Takubo, Hideki Tominaga, Ryuta Hirose, Tomohiro Karasawa, Shigetoshi Sugawa

    ITE Transactions on Media Technology and Applications 4 (2) 109-115 2016年

    出版者・発行元: Institute of Image Information and Television Engineers

    DOI: 10.3169/mta.4.109  

    ISSN:2186-7364

    eISSN:2186-7364

  97. A CMOS Image Sensor with 240 μV/e– Conversion Gain, 200 ke– Full Well Capacity, 190-1000 nm Spectral Response and High Robustness to UV light 査読有り

    Satoshi Nasuno, Shunichi Wakashima, Fumiaki Kusuhara, Rihito Kuroda, Shigetoshi Sugawa

    ITE Transactions on Media Technology and Applications 4 (2) 116-122 2016年

    DOI: 10.3169/mta.4.116  

    eISSN:2186-7364

  98. A 20Mfps global shutter CMOS image sensor with improved light sensitivity and power consumption performances 査読有り

    Rihito Kuroda, Yasuhisa Tochigi, Ken Miyauchi, Tohru Takeda, Hidetake Sugo, Fan Shao, Shigetoshi Sugawa

    ITE Transactions on Media Technology and Applications 4 (2) 149-154 2016年

    出版者・発行元: Institute of Image Information and Television Engineers

    DOI: 10.3169/mta.4.149  

    ISSN:2186-7364

    eISSN:2186-7364

  99. Low Leakage Current Al2O3 Metal-Insulator-Metal Capacitors Formed by Atomic Layer Deposition at Optimized Process Temperature and O-2 Post Deposition Annealing 査読有り

    Y. Koda, H. Sugita, T. Suwa, R. Kuroda, T. Goto, A. Teramoto, S. Sugawa

    SILICON COMPATIBLE MATERIALS, PROCESSES, AND TECHNOLOGIES FOR ADVANCED INTEGRATED CIRCUITS AND EMERGING APPLICATIONS 6 72 (4) 91-100 2016年

    DOI: 10.1149/07204.0091ecst  

    ISSN:1938-5862

  100. Introduction of a High Selectivity Etching Process with Advanced SiNx Etch Gas in the Fabrication of FinFET Structures 査読有り

    T. Kojiri, T. Suwa, K. Hashimoto, A. Teramoto, R. Kuroda, S. Sugawa

    SILICON COMPATIBLE MATERIALS, PROCESSES, AND TECHNOLOGIES FOR ADVANCED INTEGRATED CIRCUITS AND EMERGING APPLICATIONS 6 72 (4) 23-30 2016年

    DOI: 10.1149/07204.0023ecst  

    ISSN:1938-5862

  101. Low leakage current Al<inf>2</inf>O<inf>3</inf> metal-insulator-metal capacitors formed by atomic layer deposition at optimized process temperature and O<inf>2</inf> post deposition annealing 査読有り

    Y. Koda, H. Sugita, T. Suwa, R. Kuroda, T. Goto, A. Teramoto, S. Sugawa

    ECS Transactions 72 (4) 91-100 2016年

    DOI: 10.1149/07204.0091ecst  

    ISSN:1938-5862

    eISSN:1938-6737

  102. Introduction of a high selectivity etching process with advanced SiN<inf>x</inf> etch gas in the fabrication of FinFET structures 査読有り

    T. Kojiri, T. Suwa, K. Hashimoto, A. Teramoto, R. Kuroda, S. Sugawa

    ECS Transactions 72 (4) 23-30 2016年

    DOI: 10.1149/07204.0023ecst  

    ISSN:1938-6737

    eISSN:1938-5862

  103. A Dead-time Free Global Shutter CMOS Image Sensor with in-pixel LOFIC and ADC using Pixel-wise Connections 査読有り

    Hidetake Sugo, Shunichi Wakashima, Rihito Kuroda, Yuichiro Yamashita, Hirofumi Sumi, Tzu-Jui Wang, Po-Sheng Chou, Ming-Chieh Hsu, Shigetoshi Sugawa

    2016 IEEE SYMPOSIUM ON VLSI CIRCUITS (VLSI-CIRCUITS) 2016-September 224-225 2016年

    DOI: 10.1109/VLSIC.2016.7573544  

  104. Evaluating Work-Function and Composition of ErSix on Various Surface Orientation of Silicon 査読有り

    Akinobu Teramoto, Hiroaki Tanaka, Tomoyuki Suwa, Tetsuya Goto, Rihito Kuroda, Tsukasa Motoya, Kazumasa Kawase, Shigetoshi Sugawa

    ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY 5 (10) P608-P613 2016年

    DOI: 10.1149/2.0221610jss  

    ISSN:2162-8769

    eISSN:2162-8777

  105. A High Sensitivity Compact Gas Concentration Sensor using UV Light and Charge Amplifier Circuit 査読有り

    Hidekazu Ishii, Masaaki Nagase, Nobukazu Ikeda, Yoshinobu Shiba, Yasuyuki Shirai, Rihito Kuroda, Shigetoshi Sugawa

    2016 IEEE SENSORS 877-879 2016年

    DOI: 10.1109/ICSENS.2016.7808698  

    ISSN:1930-0395

    eISSN:2168-9229

  106. A Dead-time Free Global Shutter CMOS Image Sensor with in-pixel LOFIC and ADC using Pixel-wise Connections 査読有り

    Hidetake Sugo, Shunichi Wakashima, Rihito Kuroda, Yuichiro Yamashita, Hirofumi Sumi, Tzu-Jui Wang, Po-Sheng Chou, Ming-Chieh Hsu, Shigetoshi Sugawa

    2016 IEEE SYMPOSIUM ON VLSI CIRCUITS (VLSI-CIRCUITS) 2016-September 13-14 2016年

    DOI: 10.1109/VLSIC.2016.7573544  

  107. 190-1100 nm Waveband Multispectral Imaging System using High Light Resistance Wide Dynamic Range CMOS Image Sensor 査読有り

    Yasuvuki Fujihara, Satoshi Nasuno, Shunichi Wakashima, Yusuke Aoyagi, Rihito Kuroda, Shigetoshi Sugawa

    2016 IEEE SENSORS 37-38 2016年

    ISSN:1930-0395

  108. An Over 1Mfps Global Shutter CMOS Image Sensor with 480 Frame Storage Using Vertical Analog Memory Integration 査読有り

    M. Suzuki, M. Suzuki, R. Kuroda, Y. Kumagai, A. Chiba, N. Miura, N. Kuriyama, S. Sugawa

    2016 IEEE INTERNATIONAL ELECTRON DEVICES MEETING (IEDM) 212-215 2016年

    DOI: 10.1109/IEDM.2016.7838376  

    ISSN:2380-9248

  109. Analysis and reduction of leakage current of 2kV monolithic isolator with wide trench spiral isolation structure 査読有り

    Yusuke Takeuchi, Rihito Kuroda, Shigetoshi Sugawa

    Extended Abstracts of the 2015 International Conference on Solid State Devices and Materials 804-805 2015年9月30日

  110. Proposal of Tunneling and Diffusion Current Hybrid MOSFET 査読有り

    Kiichi Furukawa, Rihito Kuroda, Tomoyuki Suwa, Keiichi Hashimoto, Akinobu Teramoto, Shigetoshi Sugawa

    Extended Abstracts of the 2015 International Conference on Solid State Devices and Materials 86-87 2015年9月29日

  111. Measurement and Analysis of Seismic Response in Semiconductor Manufacturing Equipment 査読有り

    Kaori Komoda, Masashi Sakuma, Masakazu Yata, Yoshio Yamazaki, Fuminobu Imaizumi, Rihito Kuroda, Shigetoshi Sugawa

    IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING 28 (3) 289-296 2015年8月

    DOI: 10.1109/TSM.2015.2427807  

    ISSN:0894-6507

    eISSN:1558-2345

  112. A 80% QE High Readout Speed 1024 Pixel Linear Photodiode Array for UV-VIS-NIR Spectroscopy 査読有り

    Rihito Kuroda, Takahiro Akutsu, Yasumasa Koda, Kenji Takubo, Hideki Tominaga, Ryuuta Hirose, Tomohiro Karasawa, Shigetoshi Sugawa

    Proceedings of 2015 International Image Sensor Workshop 78-81 2015年6月

  113. Analysis and Reduction of Floating Diffusion Capacitance Components of CMOS Image Sensor for Photon-Countable Sensitivity 査読有り

    Fumiaki Kusuhara, Shunichi Wakashima, Satoshi Nasuno, Rihito Kuroda, Shigetoshi Sugawa

    Proceedings of 2015 International Image Sensor Workshop 120-123 2015年6月

  114. A 20Mfps Global Shutter CMOS Image Sensor with Improved Sensitivity and Power Consumption 査読有り

    Shigetoshi Sugawa, Rihito Kuroda, Tohru Takeda, Fan Shao, Ken Miyauchi, Yasuhisa Tochigi

    Proceedings of 2015 International Image Sensor Workshop 166-169 2015年6月

  115. A CMOS Image Sensor with 240μV/e- Conversion Gain, 200ke- Full Well Capacity and 190-1000nm Spectral Response 査読有り

    Satoshi Nasuno, Shunichi Wakashima, Fumiaki Kusuhara, Rihito Kuroda, Shigetoshi Sugawa

    Proceedings of 2015 International Image Sensor Workshop 312-315 2015年6月

  116. Effect of Process Temperature of Al2O3 Atomic Layer Deposition Using Accurate Process Gasses Supply System 査読有り

    Hisaya Sugita, Yasukasa Koda, Tomoyuki Suwa, Rihito Kuroda, Tetsuya Goto, Hidekazu Ishii, Satoru Yamashita, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    227th Meeting of The Electrochemical Society 1399 2015年5月

  117. Atomically flattening of Si surface of silicon on insulator and isolation-patterned wafers 査読有り

    Tetsuya Goto, Rihito Kuroda, Naoya Akagawa, Tomoyuki Suwa, Akinobu Teramoto, Xiang Li, Toshiki Obara, Daiki Kimoto, Shigetoshi Sugawa, Tadahiro Ohmi, Yutaka Kamata, Yuki Kumagai, Katsuhiko Shibusawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 54 (4) 04DA04-1-04DA04-7 2015年4月

    DOI: 10.7567/JJAP.54.04DA04  

    ISSN:0021-4922

    eISSN:1347-4065

  118. Analysis of breakdown voltage of area surrounded by multiple trench gaps in 4 kV monolithic isolator for communication network interface 査読有り

    Yusuke Takeuchi, Rihito Kuroda, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 54 (4) 04DB01-1-04DB01-5 2015年4月

    DOI: 10.7567/JJAP.54.04DB01  

    ISSN:0021-4922

    eISSN:1347-4065

  119. Analysis of pixel gain and linearity of CMOS image sensor using floating capacitor load readout operation 査読有り

    S. Wakashima, F. Kusuhara, R. Kuroda, S. Sugawa

    Proceedings of SPIE - The International Society for Optical Engineering 9403 94030E-1-94030E-10 2015年

    DOI: 10.1117/12.2083111  

    ISSN:0277-786X

    eISSN:1996-756X

  120. UV/VIS/NIR imaging technologies: challenges and opportunities 査読有り

    Rihito Kuroda, Shigetoshi Sugawa

    IMAGE SENSING TECHNOLOGIES: MATERIALS, DEVICES, SYSTEMS, AND APPLICATIONS II 9481 948108-1-948108-8 2015年

    DOI: 10.1117/12.2180060  

    ISSN:0277-786X

    eISSN:1996-756X

  121. Low temperature atomically flattening of Si surface of shallow trench isolation pattern 査読有り

    T. Goto, R. Kuroda, T. Suwa, A. Teramoto, N. Akagawa, D. Kimoto, S. Sugawa, T. Ohmi, Y. Kamata, Y. Kumagai, K. Shibusawa

    ECS Transactions 66 (5) 285-292 2015年

    出版者・発行元: Electrochemical Society Inc.

    DOI: 10.1149/06605.0285ecst  

    ISSN:1938-5862

    eISSN:1938-6737

  122. Low temperature atomically flattening of Si surface of shallow trench isolation pattern 査読有り

    T. Goto, R. Kuroda, T. Suwa, A. Teramoto, N. Akagawa, D. Kimoto, S. Sugawa, T. Ohmi, Y. Kamata, Y. Kumagai, K. Shibusawa

    ECS Transactions 66 (5) 285-292 2015年

    出版者・発行元: Electrochemical Society Inc.

    DOI: 10.1149/06605.0285ecst  

    ISSN:1938-6737 1938-5862

    eISSN:1938-6737

  123. Effect of process temperature of Al<inf>2</inf>O<inf>3</inf> atomic layer deposition using accurate process gasses supply system 査読有り

    H. Sugita, Y. Koda, T. Suwa, R. Kuroda, T. Goto, H. Ishii, S. Yamashita, A. Teramoto, S. Sugawa, T. Ohmi

    ECS Transactions 66 (4) 305-314 2015年

    出版者・発行元: Electrochemical Society Inc.

    DOI: 10.1149/06604.0305ecst  

    ISSN:1938-6737

    eISSN:1938-5862

  124. A Linear Response Single Exposure CMOS Image Sensor with 0.5e(-) Readout Noise and 76ke(-) Full Well Capacity 査読有り

    Shunichi Wakashima, Fumiaki Kusuhara, Rihito Kuroda, Shigetoshi Sugawa

    2015 SYMPOSIUM ON VLSI CIRCUITS (VLSI CIRCUITS) 2015-August C88-C89 2015年

    DOI: 10.1109/VLSIC.2015.7231334  

  125. An Ultraviolet Radiation Sensor Using Differential Spectral Response of Silicon Photodiodes 査読有り

    Yhang Ricardo Sipauba Carvalho da Silva, Yasumasa Koda, Satoshi Nasuno, Rihito Kuroda, Shigetoshi Sugawa

    2015 IEEE SENSORS 1847-1850 2015年

    DOI: 10.1109/ICSENS.2015.7370656  

    ISSN:1930-0395

  126. High quantum efficiency 200-1000 nm spectral response photodiodes with on-chip multiple high transmittance optical layers 査読有り

    Yasumasa Koda, Rihito Kuroda, Shigetoshi Sugawa

    Proceedings of IEEE Sensors 2014-December (December) 1664-1667 2014年12月12日

    出版者・発行元: Institute of Electrical and Electronics Engineers Inc.

    DOI: 10.1109/ICSENS.2014.6985340  

    ISSN:1930-0395

    eISSN:2168-9229

  127. Wide spectral response and highly robust Si image sensor technology 招待有り 査読有り

    Rihito Kuroda, Shigetoshi Sugawa

    2nd Asian Image Sensor and Imaging System Symposium 7-8 2014年12月

  128. Atomically Flattening of Si Surface of SOI and Isolation-patterned Wafers 査読有り

    T. Goto, R. Kuroda, N. Akagawa, T. Suwa, A. Teramoto, X. Li, S. Sugawa, T. Ohmi, Y. Kumagai, Y. Kamata, T. Shibusawa

    Extended Abstracts of the 2014 International Conference on Solid State Devices and Materials 670-671 2014年9月

  129. Analysis of the breakdown voltage of an area surrounded by the multi-trench gaps 査読有り

    Yusuke Takeuchi, Rihito Kuroda, Shigetoshi Sugawa

    Extended Abstracts of the 2014 International Conference on Solid State Devices and Materials 732-733 2014年9月

  130. A wide dynamic range CMOS image sensor with 200-1100 nm spectral sensitivity and high robustness to UV right exposure (vol 53, 04EE07, 2014) 査読有り

    Satoshi Nasuno, Shun Kawada, Yasumasa Koda, Taiki Nakazawa, Katsuhiko Hanzawa, Rihito Kuroda, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 53 (6) 2014年6月

    DOI: 10.7567/JJAP.53.069204  

    ISSN:0021-4922

    eISSN:1347-4065

  131. A CMOS image sensor using column-parallel forward noise-canceling circuitry 査読有り

    Tsung-Ling Li, Shunichi Wakashima, Yasuyuki Goda, Rihito Kuroda, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 53 (4) 874-875 2014年4月

    DOI: 10.7567/JJAP.53.04EE14  

    ISSN:0021-4922

    eISSN:1347-4065

  132. A wide dynamic range CMOS image sensor with 200-1100 nm spectral sensitivity and high robustness to UV right exposure 査読有り

    Satoshi Nasuno, Shun Kawada, Yasumasa Koda, Taiki Nakazawa, Katsuhiko Hanzawa, Rihito Kuroda, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 53 (4) 878-879 2014年4月

    DOI: 10.7567/JJAP.53.04EE07  

    ISSN:0021-4922

    eISSN:1347-4065

  133. Carrier mobility characteristics of (100), (110), and (551) oriented atomically flattened Si surfaces for fin structure design of multi-gate metal-insulator-silicon field-effect transistors 査読有り

    Rihito Kuroda, Yukihisa Nakao, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 53 (4) 04EC04-1-04EC04-7 2014年4月

    DOI: 10.7567/JJAP.53.04EC04  

    ISSN:0021-4922

    eISSN:1347-4065

  134. Extraction of time constants ratio over nine orders of magnitude for understanding random telegraph noise in metal–oxide–semiconductor field-effect transistors 査読有り

    Toshiki Obara, Akihiro Yonezawa, Akinobu Teramoto, Rihito Kuroda, Shigetoshi Sugawa, Tadahiro Ohmi

    Japanese Journal of Applied Physics 53 (4) 04EC19-1-04EC19-7 2014年4月

    DOI: 10.7567/JJAP.53.04EC19  

    ISSN:0021-4922

    eISSN:1347-4065

  135. A Statistical evaluation of effective time constants of random telegraph noise with various operation timings of in-pixel source follower transistors

    A. Yonezawa, R. Kuroda, A. Teramoto, T. Obara, S. Sugawa

    IMAGE SENSORS AND IMAGING SYSTEMS 2014 9022 2014年

    DOI: 10.1117/12.2041090  

    ISSN:0277-786X

  136. A 1024×1 linear photodiode array sensor with fast readout speed flexible pixel-level integration time and high stability to UV light exposure 査読有り

    Takahiro Akutsu, Shun Kawada, Yasumasa Koda, Taiki Nakazawa, Rihito Kuroda, Shigetoshi Sugawa

    Proceedings of SPIE - The International Society for Optical Engineering 9022 2014年

    DOI: 10.1117/12.2040764  

    ISSN:0277-786X

    eISSN:1996-756X

  137. Pixel structure with 10 nsec fully charge transfer time for the 20m frame per second burst CMOS image sensor 査読有り

    K. Miyauchi, Tohru Takeda, K. Hanzawa, Y. Tochigi, S. Sakai, R. Kuroda, H. Tominaga, R. Hirose, K. Takubo, Y. Kondo, S. Sugawa

    Proceedings of SPIE - The International Society for Optical Engineering 9022 902203-1-902203-12 2014年

    DOI: 10.1117/12.2042373  

    ISSN:0277-786X

    eISSN:1996-756X

  138. Ultra-high speed video capturing of time dependent dielectric breakdown of metal-oxide-silicon capacitor up to 10M frame per second 査読有り

    F. Shao, D. Kimoto, K. Furukawa, H. Sugo, T. Takeda, K. Miyauchi, Y. Tochigi, R. Kuroda, S. Sugawa

    Proceedings of SPIE - The International Society for Optical Engineering 9022 902205-1-902205-9 2014年

    DOI: 10.1117/12.2040859  

    ISSN:0277-786X

    eISSN:1996-756X

  139. A statistical evaluation of effective time constants of random telegraph noise with various operation timings of in-pixel source follower transistors 査読有り

    A. Yonezawa, R. Kuroda, A. Teramoto, T. Obara, S. Sugawa

    Proceedings of SPIE - The International Society for Optical Engineering 9022 90220F-1-90220F-9 2014年

    DOI: 10.1117/12.2041090  

    ISSN:0277-786X

    eISSN:1996-756X

  140. A 1024×1 Linear Photodiode Array Sensor with Fast Readout Speed Flexible Pixel-level Integration Time and High Stability to UV Light Exposure 査読有り

    Takahiro Akutsu, Shun Kawada, Yasumasa Koda, Taiki Nakazawa, Rihito Kuroda, Shigetoshi Sugawa

    Proc. of SPIE-IS&T 9022 90220L-1-90220L-8 2014年

    DOI: 10.1117/12.2040764  

    ISSN:0277-786X

    eISSN:1996-756X

  141. A wide dynamic range CMOS image sensor with 200–1100 nm spectral sensitivity and high robustness to UV right exposure 査読有り

    Satoshi Nasuno, Shun Kawada, Yasumasa Koda, Taiki Nakazawa, Katsuhiko Hanzawa, Rihito Kuroda, Shigetoshi Sugawa

    Japanese Journal of Applied Physics 53 (6) 04EE07-1-04EE07-4 2014年

    DOI: 10.7567/JJAP.53.069204  

    ISSN:0021-4922

    eISSN:1347-4065

  142. A CMOS image sensor using column-parallel forward noise-canceling circuitry 査読有り

    Li, T.-L., Wakashima, S., Goda, Y., Kuroda, R., Sugawa, S.

    Japanese Journal of Applied Physics 53 (4 SPEC. ISSUE) 04EE14-1-04EE14-6 2014年

    DOI: 10.7567/JJAP.53.04EE14  

    ISSN:0021-4922

    eISSN:1347-4065

  143. A Highly Ultraviolet Light Sensitive and Highly Robust Image Sensor Technology Based on Flattened Si Surface 査読有り

    Rihito Kuroda, Shun Kawada, Satoshi Nasuno, Taiki Nakazawa, Yasumasa Koda, Katsuhiko Hanzawa, Shigetoshi Sugawa

    ITE Transactions on Media Technology and Applications 2 (2) 123-130 2014年

    出版者・発行元: Institute of Image Information and Television Engineers

    DOI: 10.3169/mta.2.123  

    ISSN:2186-7364

    eISSN:2186-7364

  144. High Selectivity in Dry Etching of Silicon Nitride over Si Using a Novel Hydrofluorocarbon Etch Gas in a Microwave Excited Plasma for FinFET 査読有り

    Y. Nakao, T. Matsuo, A. Teramoto, H. Utsumi, K. Hashimoto, R. Kuroda, Y. Shirai, S. Sugawa, T. Ohmi

    SILICON COMPATIBLE MATERIALS, PROCESSES, AND TECHNOLOGIES FOR ADVANCED INTEGRATED CIRCUITS AND EMERGING APPLICATIONS 4 61 (3) 29-37 2014年

    DOI: 10.1149/06103.0029ecst  

    ISSN:1938-5862

  145. Si image sensors with wide spectral response and high robustness to ultraviolet light exposure 査読有り

    Rihito Kuroda, Shigetoshi Sugawa

    IEICE ELECTRONICS EXPRESS 11 (10) 1-16 2014年

    DOI: 10.1587/elex.11.20142004  

    ISSN:1349-2543

  146. High selectivity in dry etching of silicon nitride over Si using a novel hydrofluorocarbon etch gas in a microwave excited plasma for FinFET 査読有り

    Y. Nakao, T. Matsuo, A. Teramoto, H. Utsumi, K. Hashimoto, R. Kuroda, Y. Shirai, S. Sugawa, T. Ohmi

    ECS Transactions 61 (3) 29-37 2014年

    DOI: 10.1149/06103.0029ecst  

    ISSN:1938-5862

    eISSN:1938-6737

  147. A Novel Analysis of Oxide Breakdown based on Dynamic Observation using Ultra-High Speed Video Capturing Up to 10,000,000 Frames Per Second 査読有り

    Rihito Kuroda, Fan Shao, Daiki Kimoto, Kiichi Furukawa, Hidetake Sugo, Tohru Takeda, Ken Miyauchi, Yasuhisa Tochigi, Akinobu Teramoto, Shigetoshi Sugawa

    2014 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM 3F.3.1-3F.3.4 2014年

    DOI: 10.1109/IRPS.2014.6860637  

    ISSN:1541-7026

  148. Demonstrating individual leakage path from random telegraph signal of stress induced leakage current 査読有り

    A. Teramoto, T. Inatsuka, T. Obara, N. Akagawa, R. Kuroda, S. Sugawa, T. Ohmi

    IEEE International Reliability Physics Symposium Proceedings GD.1.1-GD.1.5 2014年

    DOI: 10.1109/IRPS.2014.6861144  

    ISSN:1541-7026

  149. Analyzing Correlation between Multiple Traps in RTN Characteristics 査読有り

    Toshiki Obara, Akinobu Teramoto, Akihiro Yonezawa, Rihito Kuroda, Shigetoshi Sugawa, Tadahiro Ohmi

    2014 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM 4A.6.1-4A.6.7 2014年

    DOI: 10.1109/IRPS.2014.6860644  

    ISSN:1541-7026

  150. A Statistical Evaluation of Random Telegraph Noise of In-Pixel Source Follower Equivalent Surface and Buried Channel Transistors 査読有り

    Rihito Kuroda, Akihiro Yonezawa, Akinobu Teramoto, Tsung-Ling Li, Yasuhisa Tochigi, Shigetoshi Sugawa

    IEEE TRANSACTIONS ON ELECTRON DEVICES 60 (10) 3555-3561 2013年10月

    DOI: 10.1109/TED.2013.2278980  

    ISSN:0018-9383

    eISSN:1557-9646

  151. Highly UV-light sensitive and highly robust CMOS image sensor with 97dB wide dynaamic range and 200-1100 nm spectral sensitivity

    Satoshi Nasuno, Shun Kawada, Yasumasa Koda, Rihito Kuroda, Shigetoshi Sugawa

    Asian Symposium on Advanced Image Sensors and Imaging Systems 15-16 2013年10月1日

  152. Extraction of Time Constants Ratio over Nine Orders of Magnitude for Understanding Random Telegraph Noise in MOSFETs 査読有り

    T. Obara, A. Yonezawa, A. Teramoto, R. Kuroda, S. Sugawa, T. Ohmi

    Extended Abstracts of the 2013 International Conference on Solid State Devices and Materials 722-723 2013年9月25日

  153. Ultra-high Speed Image Sensors for Scientific Imaging 招待有り 査読有り

    Rihito Kuroda, Yasuhisa Tochigi, Ken Miyauchi, Tohru Takeda, Ryuta Hirose, Hideki Tominaga, Kenji Takubo, Yasushi Kondo, Shigetoshi Sugawa

    Extended Abstracts of the 2013 International Conference on Solid State Devices and Materials 872-873 2013年9月25日

  154. Carrier Mobility on (100), (110), and (551) Oriented Atomically Flattened Si Surfaces for Multi-gate MOSFETs Device Design 査読有り

    Rihito Kuroda, Yukihisa Nakao, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    Extended Abstracts of the 2013 International Conference on Solid State Devices and Materials 702-703 2013年9月25日

  155. Stress induced leakage current generated by hot-hole injection 査読有り

    Akinobu Teramoto, Hyeonwoo Park, Takuya Inatsuka, Rihito Kuroda, Shigetoshi Sugawa, Tadahiro Ohmi

    MICROELECTRONIC ENGINEERING 109 298-301 2013年9月

    DOI: 10.1016/j.mee.2013.03.116  

    ISSN:0167-9317

    eISSN:1873-5568

  156. A Test Circuit for Extremely Low Gate Leakage Current Measurement of 10 aA for 80 000 MOSFETs in 80 s 査読有り

    Takuya Inatsuka, Yuki Kumagai, Rihito Kuroda, Akinobu Teramoto, Tomoyuki Suwa, Shigetoshi Sugawa, Tadahiro Ohmi

    IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING 26 (3) 288-295 2013年8月

    DOI: 10.1109/TSM.2013.2260568  

    ISSN:0894-6507

    eISSN:1558-2345

  157. A FSI CMOS Image Sensor with 200-1000 nm Spectral Response 査読有り

    Rihito Kuroda, Shun Kawada, Satoshi Nasuno, Taiki Nakazawa, Yasumasa Koda, Katsuhiko Hanzawa, Shigetoshi Sugawa

    2013 International Image Sensor Workshop 61-64 2013年6月

  158. Stress induced leakage current generated by hot-hole injection 査読有り

    A. Teramoto, H.W. Park, T. Inatsuka, R. Kuroda, S. Sugawa, T. Ohmi

    18th Conference of “Insulating Films on Semiconductors” (infos2013) Book of Abstracts 156-157 2013年6月

  159. Impact of Injected Carrier Types to Stress Induced Leakage Current Using Substrate Hot Carrier Injection Stress 査読有り

    H. W. Park, A. Teramoto, T. Inatsuka, R. Kuroda, S. Sugawa, T. Ohmi

    2013 Asia-Pacific Workshop on Fundamentals and Application of Advanced Semiconductor Devices (AWAD 2013) 75-78 2013年6月

  160. A 2.8 μm Pixel-Pitch 55 ke

    Sakai Shin, Tashiro Yoshiaki, Kuroda Rihito, Sugawa Shigetoshi

    Jpn J Appl Phys 52 (4) 04CE01-04CE01-5 2013年4月25日

    出版者・発行元: The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.52.04CE01  

    ISSN:0021-4922

    詳細を見る 詳細を閉じる

    In this paper, a global-shutter complementary metal oxide semiconductor (CMOS) image sensor using lateral overflow integration capacitor (LOFIC) in each pixel without trade-offs between full-well capacity (FWC) and dark current and between FWC and pixel size has been demonstrated. Because the FWC is determined only by LOFIC, a photodiode (PD) and storage diffusion capacitor (SD) are designed focusing on achieving low dark current performance especially. A 2.8 μm pixel pitch Bayer-RGB color CMOS image sensor with the pinned diffusion capacitor for the storage node was fabricated and achieved both 83.3 e-/s at the PD and 58.3 e-/s at the SD dark current at 60 °C and about 55 ke-full well capacity. A high resolution performance, a high FWC performance and a low dark current performance were simultaneously achieved in this image sensor.

  161. A 2.8μm pixel-pitch 55 ke- Full-Well Capacity Global-Shutter CMOS Image Sensor Using Lateral Overflow Integration Capacitor 査読有り

    S. Sakai, Y. Tashiro, R. Kuroda, S. Sugawa

    2012 International Conference on Solid State Devices and Materials 52 (4) 1109-1110 2013年4月

    DOI: 10.7567/JJAP.52.04CE01  

    ISSN:0021-4922

    eISSN:1347-4065

  162. A 2.8μm Pixel-Pitch 55 ke- Full-Well Capacity Global-Shutter Complementary Metal Oxide Semiconductor Image Sensor Using Lateral Overflow Integration Capacitor 査読有り

    Shin Sakai, Yoshiaki Tashiro, Rihito Kuroda, Shigetoshi Sugawa

    Japanese Journal of Applied Physics 52 (4 PART 2) 04CE01-1-04CE01-5 2013年4月

    DOI: 10.7567/JJAP.52.04CE01  

    ISSN:0021-4922

    eISSN:1347-4065

  163. A Column-Parallel Hybrid Analog-to-Digital Converter Using Successive-Approximation-Register and Single-Slope Architectures with Error Correction for Complementary Metal Oxide Silicon Image Sensors 査読有り

    Tsung-Ling Li, Shin Sakai, Shun Kawada, Yasuyuki Goda, Shunichi Wakashima, Rihito Kuroda, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 52 (4) 04CE04-1-04CE04-7 2013年4月

    DOI: 10.7567/JJAP.52.04CE04  

    ISSN:0021-4922

    eISSN:1347-4065

  164. A Global-Shutter CMOS Image Sensor With Readout Speed of 1-Tpixel/s Burst and 780-Mpixel/s Continuous 査読有り

    Yasuhisa Tochigi, Katsuhiko Hanzawa, Yuri Kato, Rihito Kuroda, Hideki Mutoh, Ryuta Hirose, Hideki Tominaga, Kenji Takubo, Yasushi Kondo, Shigetoshi Sugawa

    IEEE JOURNAL OF SOLID-STATE CIRCUITS 48 (1) 329-338 2013年1月

    DOI: 10.1109/JSSC.2012.2219685  

    ISSN:0018-9200

    eISSN:1558-173X

  165. Color reproductivity improvement with additional virtual color filters for WRGB image sensor 査読有り

    Shun Kawada, Rihito Kuroda, Shigetoshi Sugawa

    COLOR IMAGING XVIII: DISPLAYING, PROCESSING, HARDCOPY, AND APPLICATIONS 8652 865205-1-865205-7 2013年

    DOI: 10.1117/12.2003320  

    ISSN:0277-786X

  166. A statistical evaluation of low frequency noise of in-pixel source follower-equivalent transistors with various channel types and body bias 査読有り

    R. Kuroda, A. Yonezawa, A. Teramoto, T. L. Li, Y. Tochigi, S. Sugawa

    Proceedings of SPIE - The International Society for Optical Engineering 8659 86590D-1-86590D-9 2013年

    DOI: 10.1117/12.2005759  

    ISSN:0277-786X

  167. New Analog Readout Architecture for Low Noise CMOS Image Sensors Using Column-Parallel Forward Noise-Canceling Circuitry 査読有り

    Tsung-Ling Li, Yasuyuki Goda, Shunichi Wakashima, Rihito Kuroda, Shigetoshi Sugawa

    SENSORS, CAMERAS, AND SYSTEMS FOR INDUSTRIAL AND SCIENTIFIC APPLICATIONS XIV 8659 86590E-1-86590E-9 2013年

    DOI: 10.1117/12.2003741  

    ISSN:0277-786X

    eISSN:1996-756X

  168. A CMOS image sensor using floating capacitor load readout operation 査読有り

    S. Wakashima, Y. Goda, T. L. Li, R. Kuroda, S. Sugawa

    Proceedings of SPIE - The International Society for Optical Engineering 8659 86590I-1-86590I-9 2013年

    DOI: 10.1117/12.2004892  

    ISSN:0277-786X

  169. A UV Si-photodiode with almost 100% internal Q.E. and high transmittance on-chip multilayer dielectric stack 査読有り

    Y. Koda, R. Kuroda, T. Nakazawa, Y. Nakao, S. Sugawa

    Proceedings of SPIE - The International Society for Optical Engineering 8659 86590J-1-86590J-6 2013年

    DOI: 10.1117/12.2005574  

    ISSN:0277-786X

  170. The study of time constant analysis in random telegraph noise at the subthreshold voltage region 査読有り

    A. Yonezawa, A. Teramoto, T. Obara, R. Kuroda, S. Sugawa, T. Ohmi

    IEEE International Reliability Physics Symposium Proceedings XT.11.1 2013年

    DOI: 10.1109/IRPS.2013.6532126  

    ISSN:1541-7026

  171. Demonstrating Distribution of SILC Values at Individual Leakage Spots 査読有り

    Takuya Inatsuka, Rihito Kuroda, Akinobu Teramoto, Yuki Kumagai, Shigetoshi Sugawa, Tadahiro Ohmi

    2013 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM (IRPS) GD.5.1 2013年

    DOI: 10.1109/IRPS.2013.6532088  

    ISSN:1541-7026

  172. A Column-Parallel Hybrid ADC using SAR and Single-Slope with Error Correction for CMOS Image Sensors 査読有り

    Tsung-Ling Li, Shin Sakai, Shun Kawada, Yasuyuki Goda, Shunichi Wakashima, Rihito Kuroda, Shigetoshi Sugawa

    2012 International Conference on Solid State Devices and Materials 1113-1114 2012年9月

  173. A Test Circuit for Statistical Evaluation of p-n Junction Leakage Current and Its Noise 査読有り

    Kenichi Abe, Takafumi Fujisawa, Hiroyoshi Suzuki, Shunichi Watabe, Rihito Kuroda, Shigetoshi Sugawa, Akinobu Teramoto, Tadahiro Ohmi

    IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING 25 (3) 303-309 2012年8月

    DOI: 10.1109/TSM.2012.2202751  

    ISSN:0894-6507

    eISSN:1558-2345

  174. 100nm-gate-length Normally-off Accumulation-Mode FD-SOI MOSFETs for Low Noise Analog/RF Circuits 査読有り

    Hidetoshi Utsumi, Ryohei Kasahara, Yukihisa Nakao, Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    2012 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2012) 56-61 2012年6月

  175. Cu Single Damascene Integration of an Organic Nonporous Ultralow-k Fluorocarbon Dielectric Deposited by Microwave-Excited Plasma-Enhanced CVD 査読有り

    Xun Gu, Takenao Nemoto, Yugo Tomita, Akinobu Teramoto, Rihito Kuroda, Shin-Ichiro Kuroki, Kazumasa Kawase, Shigetoshi Sugawa, Tadahiro Ohmi

    IEEE TRANSACTIONS ON ELECTRON DEVICES 59 (5) 1445-1453 2012年5月

    DOI: 10.1109/TED.2012.2187659  

    ISSN:0018-9383

    eISSN:1557-9646

  176. Integration Process Development for Improved Compatibility with Organic Non-Porous Ultralow-k Dielectric Fluorocarbon on Advanced Cu Interconnects 査読有り

    Xun Gu, Yugo Tomita, Takenao Nemoto, Kotaro Miyatani, Akane Saito, Yasuo Kobayashi, Akinobu Teramoto, Rihito Kuroda, Shin-Ichiro Kuroki, Kazumasa Kawase, Toshihisa Nozawa, Takaaki Matsuoka, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 51 (5) 05EC03-1-05EC03-6 2012年5月

    DOI: 10.1143/JJAP.51.05EC03  

    ISSN:0021-4922

    eISSN:1347-4065

  177. Recovery Characteristics of Anomalous Stress-Induced Leakage Current of 5.6nm Oxide Films 査読有り

    Takuya Inatsuka, Yuki Kumagai, Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 51 (4) 04DC02-1-04DC02-6 2012年4月

    DOI: 10.1143/JJAP.51.04DC02  

    ISSN:0021-4922

    eISSN:1347-4065

  178. On the Interface Flattening Effect and Gate Insulator Breakdown Characteristic of Radical Reaction Based Insulator Formation Technology 査読有り

    Rihito Kuroda, Akinobu Teramoto, Xiang Li, Tomoyuki Suwa, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 51 (2) 02BA01-1-02BA01-6 2012年2月

    DOI: 10.1143/JJAP.51.02BA01  

    ISSN:0021-4922

    eISSN:1347-4065

  179. Advanced Direct-Polishing Process Development of Non-Porous Ultralow-k Dielectric Fluorocarbon with Plasma Treatment on Cu Interconnects 査読有り

    Xun Gu, Takenao Nemoto, Yugo Tomita, Akinobu Teramoto, Rihito Kuroda, Shigetoshi Sugawa, Tadahiro Ohmi

    JOURNAL OF THE ELECTROCHEMICAL SOCIETY 159 (4) H407-H411 2012年

    DOI: 10.1149/2.049204jes  

    ISSN:0013-4651

    eISSN:1945-7111

  180. Photodiode dopant structure with atomically flat Si surface for high-sensitivity and stability to UV light 査読有り

    Taiki Nakazawa, Rihito Kuroda, Yasumasa Koda, Shigetoshi Sugawa

    SENSORS, CAMERAS, AND SYSTEMS FOR INDUSTRIAL AND SCIENTIFIC APPLICATIONS XIII 8298 82980M-1-82980M-8 2012年

    DOI: 10.1117/12.907727  

    ISSN:0277-786X

    eISSN:1996-756X

  181. A global-shutter CMOS image sensor with readout speed of 1Tpixel/s burst and 780Mpixel/s continuous 査読有り

    Yasuhisa Tochigi, Katsuhiko Hanzawa, Yuri Kato, Rihito Kuroda, Hideki Mutoh, Ryuta Hirose, Hideki Tominaga, Kenji Takubo, Yasushi Kondo, Shigetoshi Sugawa

    Digest of Technical Papers - IEEE International Solid-State Circuits Conference 55 382-383 2012年

    出版者・発行元: IEEE

    DOI: 10.1109/ISSCC.2012.6177046  

    ISSN:0193-6530

  182. A test circuit for extremely low gate leakage current measurement of 10 aA for 80,000 MOSFETs in 80 s 査読有り

    Y. Kumagai, T. Inatsuka, R. Kuroda, A. Teramoto, T. Suwa, S. Sugawa, T. Ohmi

    IEEE International Conference on Microelectronic Test Structures 131-136 2012年

    DOI: 10.1109/ICMTS.2012.6190631  

    ISSN:1071-9032

  183. Statistical analysis of random telegraph noise reduction effect by separating channel from the interface 査読有り

    A. Yonezawa, A. Teramoto, R. Kuroda, H. Suzuki, S. Sugawa, T. Ohmi

    IEEE International Reliability Physics Symposium Proceedings 3B.5.1-3B.5.7 2012年

    DOI: 10.1109/IRPS.2012.6241809  

    ISSN:1541-7026

  184. Electrical Properties of Silicon Nitride Using High Density and Low Plasma Damage PECVD Formed at 400 degrees C 査読有り

    Y. Nakao, A. Teramoto, T. Watanabe, R. Kuroda, T. Suwa, S. Sugawa, T. Ohmi

    DIELECTRICS FOR NANOSYSTEMS 5: MATERIALS SCIENCE, PROCESSING, RELIABILITY, AND MANUFACTURING -AND-TUTORIALS IN NANOTECHNOLOGY: MORE THAN MOORE - BEYOND CMOS EMERGING MATERIALS AND DEVICES 45 (3) 421-428 2012年

    DOI: 10.1149/1.3700907  

    ISSN:1938-5862

  185. Electrical properties of silicon nitride using high density and low plasma damage PECVD formed at 400°C 査読有り

    Y. Nakao, A. Teramoto, T. Watanabe, R. Kuroda, T. Suwa, S. Sugawa, T. Ohmi

    ECS Transactions 45 (3) 421-428 2012年

    DOI: 10.1149/1.3700907  

    ISSN:1938-5862

    eISSN:1938-6737

  186. A novel chemically, thermally and electrically robust Cu interconnect structure with an organic non-porous ultralow-k dielectric fluorocarbon (k=2.2) 査読有り

    X. Gu, A. Teramoto, R. Kuroda, Y. Tomita, T. Nemoto, S. Kuroki, S. Sugawa, T. Ohmi

    Digest of Technical Papers - Symposium on VLSI Technology 119-120 2012年

    DOI: 10.1109/VLSIT.2012.6242490  

    ISSN:0743-1562

  187. Development of Direct-polish Process of CMP and Post-CMP Clean for Next Generation Advanced Cu Interconnects 査読有り

    Xun Gu, Yugo Tomita, Takenao Nemoto, Akinobu Teramoto, Ricardo Duyos Mateo, Takeshi Sakai, Rihito Kuroda, Shin-Ichiro Kuroki, Shigetoshi Sugawa, Tadahiro Ohmi

    International Conference on Planarization&CMP 150-157 2011年11月

  188. Formation speed of atomically flat surface on Si (100) in ultra-pure argon 査読有り

    Xiang Li, Akinobu Teramoto, Tomoyuki Suwa, Rihito Kuroda, Shigetoshi Sugawa, Tadahiro Ohmi

    MICROELECTRONIC ENGINEERING 88 (10) 3133-3139 2011年10月

    DOI: 10.1016/j.mee.2011.06.014  

    ISSN:0167-9317

    eISSN:1873-5568

  189. Highly Reliable Radical SiO2 Films on Atomically Flat Silicon Surface Formed by Low Temperature Pure Ar Annealing 査読有り

    Xiang Li, Rihito Kuroda, Tomoyuki Suwa, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 50 (10) 10PB05-1-10PB05-7 2011年10月

    DOI: 10.1143/JJAP.50.10PB05  

    ISSN:0021-4922

    eISSN:1347-4065

  190. Evaluation for Anomalous Stress-Induced Leakage Current of Gate SiO2 Films Using Array Test Pattern 査読有り

    Yuki Kumagai, Akinobu Teramoto, Takuya Inatsuka, Rihito Kuroda, Tomoyuki Suwa, Shigetoshi Sugawa, Tadahiro Ohmi

    IEEE TRANSACTIONS ON ELECTRON DEVICES 58 (10) 3307-3313 2011年10月

    DOI: 10.1109/TED.2011.2161991  

    ISSN:0018-9383

    eISSN:1557-9646

  191. Large Scale Test Circuits for High Speed and Highly Accurate Evaluation of Variability and Noise of MOSFETs’ Electrical Characteristics 査読有り

    Yuki Kumagai, Kenichi Abe, Takafumi Fujisawa, Shunichi Watabe, Rihito Kuroda, Naoto Miyamoto, Tomoyuki Suwa, Akinobu Teramoto, Shigetoshi Sugawa, and, Tadahiro Ohmi

    Japanese Journal of Applied Physics 50 (10) 106701-1-106701-11 2011年10月

    DOI: 10.1143/JJAP.50.106701  

    ISSN:0021-4922

    eISSN:1347-4065

  192. Recovery Characteristic of Anomalous Stress Induced Leakage Current of 5.6nm Oxide Films 査読有り

    T. Inatsuka, Y. Kumagai, R. Kuroda, A. Teramoto, S. Sugawa, T. Ohmi

    2011 International Conference on SOLID STATE DEVICES AND MATERIALS 841-842 2011年9月

  193. Impact of Random Telegraph Noise Reduction with Buried Channel MOSFET 査読有り

    Hiroyoshi Suzuki, Rihito Kuroda, Akinobu Teramoto, Akihiro Yonezawa, Shigetoshi Sugawa, Tadahiro Ohmi

    2011 International Conference on SOLID STATE DEVICES AND MATERIALS 851-852 2011年9月

  194. On the Si Surface Flattening Effect and Gate Insulator Breakdown Characteristic of Radical Reaction Based Insulator Formation Technology 査読有り

    Rihito Kuroda, Akinobu Teramoto, Xiang Li, Tomoyuki Suwa, Shigetoshi Sugawa, Tadahiro Ohmi

    2011 International Conference on SOLID STATE DEVICES AND MATERIALS 903-904 2011年9月

  195. High Quality and Low Thermal Budget Silicon Nitride Deposition Using PECVD for Gate Spacer, Silicide Block and Contact Etch Stopper 査読有り

    Y. Nakao, R. Kuroda, H. Tanaka, A. Teramoto, S. Sugawa, T. Ohmi

    2011 International Conference on SOLID STATE DEVICES AND MATERIALS 905-906 2011年9月

  196. Science-based New Silicon Technologies Exhibiting Super High Performance due to Radical-reaction-based Semiconductor Manufacturing 査読有り

    Tadahiro Ohmi, Hiroaki Tanaka, Tomoyuki Suwa, Xiang Li, Rihito Kuroda

    JOURNAL OF THE KOREAN PHYSICAL SOCIETY 59 (2) 391-401 2011年8月

    DOI: 10.3938/jkps.59.391  

    ISSN:0374-4884

    eISSN:1976-8524

  197. Highly Ultraviolet Light Sensitive and Highly Reliable Photodiode with Atomically Flat Si Surface 査読有り

    Rihito Kuroda, Taiki Nakazawa, Katsuhiko Hanzawa, Shigetoshi Sugawa

    2011 International Image Sensor Workshop 38-41 2011年6月

  198. Impact of Channel Direction Dependent Low Field Hole Mobility on (100) Orientation Silicon Surface 査読有り

    Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 50 (4) 04DC03-1-04DC03-6 2011年4月

    DOI: 10.1143/JJAP.50.04DC03  

    ISSN:0021-4922

    eISSN:1347-4065

  199. Analysis of the Low-Frequency Noise Reduction in Si(100) Metal-Oxide-Semiconductor Field-Effect Transistors 査読有り

    Philippe Gaubert, Akinobu Teramoto, Rihito Kuroda, Yukihisa Nakao, Hiroaki Tanaka, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 50 (4) 04DC01-1-04DC01-6 2011年4月

    DOI: 10.1143/JJAP.50.04DC01  

    ISSN:0021-4922

    eISSN:1347-4065

  200. A prototype high-speed CMOS image sensor with 10,000,000 fps burst-frame rate and 10,000 fps continuous-frame rate

    Yasuhisa Tochigi, Katsuhiko Hanzawa, Yuri Kato, Nana Akahane, Rihito Kuroda, Shigetoshi Sugawa

    DIGITAL PHOTOGRAPHY VII 7876 2011年

    DOI: 10.1117/12.872207  

    ISSN:0277-786X

  201. Gate SiO2 Film Integrity on Ultra-Pure Argon Anneal (100) Silicon Surface

    Akinobu Teramoto, Xiang Li, Rihito Kuroda, Tomoyuki Suwa, Shigetoshi Sugawa, Tadahiro Ohmi

    ULSI PROCESS INTEGRATION 7 41 (7) 147-156 2011年

    DOI: 10.1149/1.3633294  

    ISSN:1938-5862

  202. Different Properties of Erbium Silicides on Si(100) and Si(551) Orientation Surfaces 査読有り

    Hiroaki Tanaka, Akinobu Teramoto, Rihito Kuroda, Yukihisa Nakao, Tomoyuki Suwa, Kazumasa Kawase, Shigetoshi Sugawa, Tadahiro Ohmi

    ULSI PROCESS INTEGRATION 7 41 (7) 365-373 2011年

    DOI: 10.1149/1.3633317  

    ISSN:1938-5862

    eISSN:1938-6737

  203. High reliable SiO2 Films on Atomically Flat Silicon Surface Formed by Low Temperature Pure Ar Annealing 査読有り

    X. Li, R. Kuroda, T. Suwa, A. Teramoto, S. Sugawa, T. Ohmi

    Extend Abstracts of 2011 International Workshop on Dielectric Thin Films For Future Electron Devices: Science and Technology (IWDTF) 107-108 2011年1月

  204. A robust color signal processing with wide dynamic range WRGB CMOS image sensor 査読有り

    Shun Kawada, Rihito Kuroda, Shigetoshi Sugawa

    DIGITAL PHOTOGRAPHY VII 7876 78760W-1-78760W-8 2011年

    DOI: 10.1117/12.872285  

    ISSN:0277-786X

  205. A prototype high-speed CMOS image sensor with 10,000,000 fps burst-frame rate and 10,000 fps continuous-frame rate 査読有り

    Yasuhisa Tochigi, Katsuhiko Hanzawa, Yuri Kato, Nana Akahane, Rihito Kuroda, Shigetoshi Sugawa

    DIGITAL PHOTOGRAPHY VII 7876 78760G-1-78760G-8 2011年

    DOI: 10.1117/12.872207  

    ISSN:0277-786X

  206. Visualization of Single Atomic Steps on An Ultra-Flat Si(100) Surface by Advanced Differential Interference Contrast Microscopy 査読有り

    Shin-Ichiro Kobayashi, Youn-Geun Kim, Rui Wen, Kohei Yasuda, Hirokazu Fukidome, Tomoyuki Suwa, Rihito Kuroda, Xiang Li, Akinobu Teramoto, Tadahiro Ohmi, Kingo Itaya

    ELECTROCHEMICAL AND SOLID STATE LETTERS 14 (9) H351-H353 2011年

    DOI: 10.1149/1.3597657  

    ISSN:1099-0062

    eISSN:1944-8775

  207. Gate SiO2 Film Integrity on Ultra-Pure Argon Anneal (100) Silicon Surface 査読有り

    Akinobu Teramoto, Xiang Li, Rihito Kuroda, Tomoyuki Suwa, Shigetoshi Sugawa, Tadahiro Ohmi

    ULSI PROCESS INTEGRATION 7 41 (7) 147-156 2011年

    DOI: 10.1149/1.3633294  

    ISSN:1938-5862

    eISSN:1938-6737

  208. Different Properties of Erbium Silicides on Si(100) and Si(551) Orientation Surfaces 査読有り

    Hiroaki Tanaka, Akinobu Teramoto, Rihito Kuroda, Yukihisa Nakao, Tomoyuki Suwa, Kazumasa Kawase, Shigetoshi Sugawa, Tadahiro Ohmi

    ULSI PROCESS INTEGRATION 7 41 (7) 365-373 2011年

    DOI: 10.1149/1.3633317  

    ISSN:1938-5862

    eISSN:1938-6737

  209. Drastic reduction of the low frequency noise in Si(100) p-MOSFETs 査読有り

    P. Gaubert, A. Teramoto, R. Kuroda, Y. Nakao, H. Tanaka, T. Ohmi

    2010 International Conference on SOLID STATE DEVICES AND MATERIALS 41-42 2010年9月

  210. Impact of Channel Direction Dependent Low Field Hole Mobility on Si(100) 査読有り

    Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    2010 International Conference on SOLID STATE DEVICES AND MATERIALS 51-52 2010年9月

  211. Large Scale Test Circuits for Systematic Evaluation of Variability and Noise of MOSFETs’ Electrical Characteristics 査読有り

    Y. Kumagai, K. Abe, T. Fujisawa, S. Watabe, R. Kuroda, N. Miyamoto, T. Suwa, A. Teramoto, S. Sugawa, T. Ohmi

    2010 International Conference on SOLID STATE DEVICES AND MATERIALS 804-805 2010年9月

  212. High Integrity Gate Insulator Films on Atomically Flat Silicon Surface 査読有り

    X. Li, R. Kuroda, T. Suwa, A. Teramoto, S. Sugawa, T. Ohmi

    2010 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices, IEICE Technical Report ED2010-93, SDM2010-94 110 (109) 183-188 2010年6月

    出版者・発行元: 一般社団法人電子情報通信学会

    ISSN:0913-5685

    詳細を見る 詳細を閉じる

    A low temperature atomically flattening technology for Si(100) wafer is developed. By annealing in ultra pure argon ambient at 800℃, atomically flat surfaces composed of atomic terraces and steps appear uniformly in the whole 200 mm wafer without generating slip line defects. Moreover, the whole 200 mm wafer surface can be atomically flattened in shorter time by increasing the argon gas flow rate and the annealing temperature of vertical furnace. Furthermore, the MOS capacitors with the atomically flat gate oxide/Si interface formed by radical oxidation on the flattened surface show superior insulating properties such as higher E_<bd> and Q_<bd>.

  213. High Current Drivability FD-SOI CMOS with Low Source/Drain Series Resistance 査読有り

    Yukihisa NAKAO, Rihito KURODA, Hiroaki TANAKA, Akinobu TERAMOTO, Shigetoshi SUGAWA, Tadahiro OHMI

    2010 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices, IEICE Technical Report ED2010-119, SDM2010-120 110 (110) 303-308 2010年6月

    出版者・発行元: 一般社団法人電子情報通信学会

    ISSN:0913-5685

    詳細を見る 詳細を閉じる

    A high current drivability CMOS on Si(100) with a low Source/Drain series resistance is demonstrated using a fully-depleted silicon-on-insulator (FD-SOI) technology. The pMOS current drivability is improved by an introduction of the accumulation-mode device structure. Also, the contact resistivity as well as, the sheet resistance of the Source/Drain (S/D) electrodes are reduced to 6.9 x 10^<-9> Ω・cm^2 for n^+-Si and 8.0 x 10^<-10> Ω・cm^2 for p^+-Si and 5 Ω/sheet due to an introduction of work function optimized silicides for nMOS and pMOS, and a metal/silicide/Si stack structure, respectively. As a result, current drivability of 833 μA/μm and 661 μA/μm for nMOS and pMOS are obtained for around 100 nm gate length device size.

  214. Pixel Scaling in Complementary Metal Oxide Silicon Image Sensor with Lateral Overflow Integration Capacitor 査読有り

    Shin Sakai, Yoshiaki Tashiro, Shun Kawada, Rihito Kuroda, Nana Akahane, Koichi Mizobuchi, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 49 (4) 04DE03 2010年

    DOI: 10.1143/JJAP.49.04DE03  

    ISSN:0021-4922

    eISSN:1347-4065

  215. Atomically Flattening Technology at 850 ˚C for Si(100) Surface 査読有り

    X. Li, T. Suwa, A. Teramoto, R. Kuroda, S. Sugawa, T. Ohmi

    Electrochemical Society Transactions 28 (1) 299-309 2010年

    DOI: 10.1149/1.3375615  

    ISSN:1938-5862

    eISSN:1938-6737

  216. Impact of Work Function Optimized S/D Silicide Contact for High Current Drivability CMOS 査読有り

    Y. Nakao, R. Kuroda, H. Tanaka, T. Isogai, A. Teramoto, S. Sugawa, T. Ohmi

    Electrochemical Society Transactions 28 (1) 315-324 2010年

    DOI: 10.1149/1.3375617  

    ISSN:1938-5862

  217. A Test Structure for Statistical Evaluation of pn Junction Leakage Current Based on CMOS Image Sensor Technology 査読有り

    Kenichi Abe, Takafumi Fujisawa, Hiroyoshi Suzuki, Shunichi Watabe, Rihito Kuroda, Shigetoshi Sugawa, Akinobu Teramoto, Tadahiro Ohmi

    2010 INTERNATIONAL CONFERENCE ON MICROELECTRONIC TEST STRUCTURES, 23RD IEEE ICMTS CONFERENCE PROCEEDINGS 18-22 2010年

    DOI: 10.1109/ICMTS.2010.5466868  

    ISSN:1071-9032

  218. Impact of work function optimized S/D silicide contact for high current drivability CMOS 査読有り

    Y. Nakao, R. Kuroda, H. Tanaka, T. Isogai, A. Teramoto, S. Sugawa, T. Ohmi

    ECS Transactions 28 (1) 315-324 2010年

    DOI: 10.1149/1.3375617  

    ISSN:1938-5862

    eISSN:1938-6737

  219. Atomically Flattening Technology at 850 degrees C for Si(100) Surface 査読有り

    X. Li, T. Suwa, A. Teramoto, R. Kuroda, S. Sugawa, T. Ohmi

    ADVANCED GATE STACK, SOURCE/DRAIN, AND CHANNEL ENGINEERING FOR SI-BASED CMOS 6: NEW MATERIALS, PROCESSES, AND EQUIPMENT 28 (1) 299-309 2010年

    DOI: 10.1149/1.3375615  

    ISSN:1938-5862

  220. Statistical Evaluation of Dynamic Junction Leakage Current Fluctuation Using a Simple Arrayed Capacitors Circuit 査読有り

    Kenichi Abe, Takafumi Fujisawa, Hiroyoshi Suzuki, Shunichi Watabe, Rihito Kuroda, Shigetoshi Sugawa, Akinobu Teramoto, Tadahiro Ohmi

    2010 INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM 683-688 2010年

    DOI: 10.1109/IRPS.2010.5488751  

    ISSN:1541-7026

  221. Ultra-low Series Resistance W/ErSi2/n(+)-Si and W/Pd2Si/p(+)-Si S/D Electrodes for Advanced CMOS Platform 査読有り

    Rihito Kuroda, Hiroaki Tanaka, Yukihisa Nakao, Akinobu Teramoto, Naoto Miyamoto, Shigetoshi Sugawa, Tadahiro Ohmi

    2010 INTERNATIONAL ELECTRON DEVICES MEETING - TECHNICAL DIGEST 580-583 2010年

    DOI: 10.1109/IEDM.2010.5703425  

    ISSN:2380-9248

  222. Impact of Very Low Series Resistance due to Raised Metal S/D Structure with Very Low Contact Resistance Silicide for sub-100-nm nMOSFET 査読有り

    Rihito Kuroda, Tatsunori Isogai, Hiroaki Tanaka, Yukihisa Nakao, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    Extended Abstracts of the 2009 International Conference on SOLID STATE DEVICES AND MATERIALS 2009 994-995 2009年10月

  223. Pixel Scaling in CMOS Image Sensors with Lateral Overflow Integration Capacitor 査読有り

    Yoshiaki Tashiro, Shin Sakai, Shun Kawada, Rihito Kuroda, Nana Akahane, Koichi Mizobuchi, Shigetoshi Sugawa

    Extended Abstracts of the 2009 International Conference on SOLID STATE DEVICES AND MATERIALS 1062-1063 2009年10月

  224. Data Analysis Technique of Atomic Force Microscopy for Atomically Flat Silicon Surfaces 査読有り

    Masahiro Konda, Akinobu Teramoto, Tomoyuki Suwa, Rihito Kuroda, Tadahiro Ohmi

    IEICE TRANSACTIONS ON ELECTRONICS E92C (5) 664-670 2009年5月

    DOI: 10.1587/transele.E92.C.664  

    ISSN:0916-8524

    eISSN:1745-1353

  225. A Study on Very High Performance Novel Balanced Fully Depleted Silicon-on-Insulator Complementary Metal-Oxide-Semiconductor Field-Effect Transistors on Si(110) Using Accumulation-Mode Device Structure for Radio-Frequency Analog Circuits 査読有り

    Weitao Cheng, Akinobu Teramoto, ChingFoa Tye, Rihito Kuroda, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 48 (4) 04C047 2009年4月

    DOI: 10.1143/JJAP.48.04C047  

    ISSN:0021-4922

    eISSN:1347-4065

  226. Complementary Metal-Oxide-Silicon Field-Effect-Transistors Featuring Atomically Flat Gate Insulator Film/Silicon Interface 査読有り

    Rihito Kuroda, Akinobu Teramoto, Yukihisa Nakao, Tomoyuki Suwa, Masahiro Konda, Rui Hasebe, Xiang Li, Tatsunori Isogai, Hiroaki Tanaka, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 48 (4) 04C048 2009年4月

    DOI: 10.1143/JJAP.48.04C048  

    ISSN:0021-4922

    eISSN:1347-4065

  227. Characterization for High-Performance CMOS Using In-Wafer Advanced Kelvin-Contact Device Structure 査読有り

    Rihito Kuroda, Akinobu Teramoto, Takanori Komuro, Shigetoshi Sugawa, Tadahiro Ohmi

    IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING 22 (1) 126-133 2009年2月

    DOI: 10.1109/TSM.2008.2010743  

    ISSN:0894-6507

    eISSN:1558-2345

  228. Atomically Flat Silicon Surface and Silicon/Insulator Interface Formation Technologies for (100) Surface Orientation Large-Diameter Wafers Introducing High Performance and Low-Noise Metal-Insulator-Silicon FETs 査読有り

    Rihito Kuroda, Tomoyuki Suwa, Akinobu Teramoto, Rui Hasebe, Shigetoshi Sugawa, Tadahiro Ohmi

    IEEE TRANSACTIONS ON ELECTRON DEVICES 56 (2) 291-298 2009年2月

    DOI: 10.1109/TED.2008.2010591  

    ISSN:0018-9383

    eISSN:1557-9646

  229. Three-step Room Temperature Wet Cleaning Process for Silicon Substrate 査読有り

    Rui Hasebe, Akinobu Teramoto, Tomoyuki Suwa, Rihito Kuroda, Shigetoshi Sugawa, Tadahiro Ohmi

    ULTRA CLEAN PROCESSING OF SEMICONDUCTOR SURFACES IX 145-146 189-192 2009年

    DOI: 10.4028/www.scientific.net/SSP.145-146.189  

    ISSN:1012-0394

  230. Three-Step Room-Temperature Cleaning of Bare Silicon Surface for Radical-Reaction-Based Semiconductor Manufacturing 査読有り

    Rui Hasebe, Akinobu Teramoto, Rihito Kuroda, Tomoyuki Suwa, Shigetoshi Sugawa, Tadahiro Ohmi

    JOURNAL OF THE ELECTROCHEMICAL SOCIETY 156 (1) H10-H17 2009年

    DOI: 10.1149/1.2993153  

    ISSN:0013-4651

    eISSN:1945-7111

  231. Three-step room-temperature cleaning of bare silicon surface for radical-reaction-based semiconductor manufacturing 査読有り

    Hasebe, R., Teramoto, A., Kuroda, R., Suwa, T., Sugawa, S., Ohmi, T.

    Journal of the Electrochemical Society 156 (1) H10-H17 2009年

    DOI: 10.1149/1.2993153  

    ISSN:0013-4651

    eISSN:1945-7111

  232. Different types of degradation and recovery mechanisms on NBT stress for thin SiO<inf>2</inf> films by On-the-Fly measurement 査読有り

    A. Teramoto, R. Kuroda, T. Suko, M. Sato, T. Tsuboi, S. Sugawa, T. Ohmi

    ECS Transactions 19 (2) 339-350 2009年

    DOI: 10.1149/1.3122100  

    ISSN:1938-5862

    eISSN:1938-6737

  233. Different Types of Degradation and Recovery Mechanisms on NBT Stress for Thin SiO2 Films by On-the-Fly Measurement 査読有り

    A. Teramoto, R. Kuroda, T. Suko, M. Sato, T. Tsuboi, S. Sugawa, T. Ohmi

    SILICON NITRIDE, SILICON DIOXIDE, AND EMERGING DIELECTRICS 10 19 (2) 339-+ 2009年

    DOI: 10.1149/1.3122100  

    ISSN:1938-5862

  234. A Pixel-Shared CMOS Image Sensor Using Lateral Overflow Gate 査読有り

    Shin Sakai, Yoshiaki Tashiro, Nana Akahane, Rihito Kuroda, Koichi Mizobuchi, Shigetoshi Sugawa

    2009 PROCEEDINGS OF ESSCIRC 241-+ 2009年

    DOI: 10.1109/ESSCIRC.2009.5326026  

    ISSN:1930-8833

  235. A Wide Dynamic Range Checkered-Color CMOS Image Sensor with IR-Cut RGB and Visible-to-Near-IR Pixels 査読有り

    Shun Kawada, Shin Sakai, Nana Akahane, Rihito Kuroda, Shigetoshi Sugawa

    2009 IEEE SENSORS, VOLS 1-3 1648-1651 2009年

    DOI: 10.1109/ICSENS.2009.5398511  

  236. Accurate negative bias temperature instability lifetime prediction based on hole injection 査読有り

    Akinobu Teramoto, Rihito Kuroda, Shigetoshi Sugawa, Tadahiro Ohmi

    MICROELECTRONICS RELIABILITY 48 (10) 1649-1654 2008年10月

    DOI: 10.1016/j.microrel.2008.07.062  

    ISSN:0026-2714

  237. CMOSFET Featuring Atomically Flat Gate Insulator Film/Silicon Interface on (100) Orientation Surface 査読有り

    R. Kuroda, A. Teramoto, T. Suwa, Y. Nakao, S. Sugawa, T. Ohmi

    Extended Abstracts of the 2008 International Conference on SOLID STATE DEVICES AND MATERIALS 706-707 2008年9月

  238. A Study on Very High Performance Novel Balanced FD-SOI CMOSFETs on Si(110) Using Accumulation Mode Device Structure for RF Analog Circuits 査読有り

    Weitao Cheng, Akinobu Teramoto, ChingFoa Tye, Rihito Kuroda, Shigetoshi Sugawa, Tadahiro Ohmi

    Extended Abstracts of the 2008 International Conference on SOLID STATE DEVICES AND MATERIALS 876-877 2008年9月

  239. 3-step room temperature wet cleaning process for silicon substrate 査読有り

    R. Hasebe, A. Teramoto, R Kuroda, T. Suwa, S. Sugawa, T.Ohmi

    in proceedings of the 9th International Symposium on Ultra Clean Processing of Semiconductor Surfaces (UCPSS 2008) 136-137 2008年9月

  240. The data analysis technique of the atomic force microscopy for the atomically flat silicon surface 査読有り

    Masahiro Konda, Akinobu Teramoto, Tomoyuki Suwa, Rhito Kuroda, Tadahiro Ohmi

    in proceedings of the 2008 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2008 108 (122) 265-269 2008年7月

    出版者・発行元: 一般社団法人電子情報通信学会

    ISSN:0913-5685

    詳細を見る 詳細を閉じる

    Atomically flat (100) silicon surface constructed with atomic terraces and steps is realized by argon annealing at 1200℃ on (100) crystal orientation large diameter wafers with precisely controlled tilt angle. An atomic terraces and steps of (100) silicon surface can be measured as an image data by the atomic force microscopy (AFM). In order to discuss the flatness and the uniformity of the atomically flat silicon surface, it is important to evaluate the roughness of each terrace. In this paper, the data analysis technique of the atomic terraces and steps of (100) silicon surface will be proposed.

  241. Impact of Performance and Reliability Boosters in Novel FD-SOI CMOS Devices on Si(110) Surface for Analog Applications 査読有り

    Weitao Cheng, Akinobu Teramoto, Rhito Kuroda, Ching Foa Tye, Syuichi Watabe, Shigetoshi Sugawa, Tadahiro Ohmi

    in proc. 29th International Conference on the Physics of Semiconductors (ICPS 2008) 602-603 2008年7月

  242. Performance comparison of ultrathin fully depleted silicon-on-insulator inversion-, intrinsic-, and accumulation-mode metal-oxide-semiconductor field-effect transistors 査読有り

    Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 47 (4) 2668-2671 2008年4月

    DOI: 10.1143/JJAP.47.2668  

    ISSN:0021-4922

    eISSN:1347-4065

  243. THE CLEANING METHOD WHICH IS ABLE TO KEEP THE SMOOTHNESS OF SI (100) 査読有り

    Xiang Li, Xun Gu, Akinobu Teramoto, Rihito Kuroda, Rui Hasebe, Tomoyuki Suwa, Ningmei Yu, Shigetoshi Sugawa, Takashi Ito, Tadahiro Ohmi

    in proceedings of the International Semiconductor Technology Conference 2008 469-474 2008年3月

  244. Atomically Flat Gate Insulator/Silicon (100) Interface Formation Technology for High Performance LSI 招待有り 査読有り

    Akinobu Teramoto, Rihito Kuroda, Tomoyuki Suwa, Tadahiro Ohmi

    WPI & IFCAM Joint Workshop -Challenge of Interdisciplinary Materials Science to Technological Innovation of the 21st Century- 15 2008年2月

  245. The cleaning method which is able to keep the smoothness of SI (100) 査読有り

    Xiang Li, Xun Gu, Akinobu Teramoto, Rihito Kuroda, Rui Hasebe, Tomoyuki Suwa, Ningmei Yu, Shigetoshi Sugawa, Takashi Ito, Tadahiro Ohmi

    Proceedings - Electrochemical Society PV 2008-1 469-474 2008年

  246. Characterization of MOSFETs intrinsic performance using in-wafer advanced Kelvin-contact device structure for high performance CMOS LSIs 査読有り

    Rihito Kuroda, Akinobu Teramoto, Takanori Komuro, Weitao Cheng, Syunichi Watabe, Ching Foa Tye, Shigetoshi Sugawa, Tadahiro Ohmi

    2008 IEEE INTERNATIONAL CONFERENCE ON MICROELECTRONIC TEST STRUCTURES, CONFERENCE PROCEEDINGS 155-+ 2008年

    DOI: 10.1109/ICMTS.2008.4509331  

    ISSN:1071-9032

  247. Atomically flat gate insulator/silicon (100) interface formation introducing high mobility, ultra-low noise, and small characteristics variation CMOSFET 査読有り

    R. Kuroda, A. Teramoto, T. Suwa, R. Hasebe, X. Li, M. Konda, S. Sugawa, T. Ohmi

    ESSDERC 2008 - Proceedings of the 38th European Solid-State Device Research Conference 83-86 2008年

    DOI: 10.1109/ESSDERC.2008.4681704  

    ISSN:1930-8876

  248. High performance and highly reliable novel CMOS devices using accumulation mode multi-gate and fully depleted SOI MOSFETs 査読有り

    W. Cheng, A. Teramoto, R. Kuroda, M. Hirayama, T. Ohmi

    Microelectronic Engineering 84 (9-10) 2105-2108 2007年9月

    DOI: 10.1016/j.mee.2007.04.124  

    ISSN:0167-9317

  249. Performance Comparison of Ultra-thin FD-SOI Inversion-, Intrinsic-and Accumulation- Mode MOSFETs 査読有り

    Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    Extended Abstracts of the 2007 International Conference on SOLID STATE DEVICES AND MATERIALS 412-413 2007年9月

  250. Circuit level prediction of device performance degradation due to negative bias temperature stress 査読有り

    Rihito Kuroda, Akinobu Teramoto, Kazufumi Watanabe, Michihiko Mifuji, Takahisa Yamaha, Shigetoshi Sugawa, Tadahiro Ohmi

    MICROELECTRONICS RELIABILITY 47 (6) 930-936 2007年6月

    DOI: 10.1016/j.microrel.2006.06.013  

    ISSN:0026-2714

  251. Revolutional progress of silicon technologies exhibiting very high speed performance over a 50-GHz clock rate 査読有り

    Tadahiro Ohmi, Akinobu Teramoto, Rihito Kuroda, Naoto Miyamoto

    IEEE TRANSACTIONS ON ELECTRON DEVICES 54 (6) 1471-1477 2007年6月

    DOI: 10.1109/TED.2007.896391  

    ISSN:0018-9383

    eISSN:1557-9646

  252. Analysis of Source Follower Random Telegraph Signal Using nMOS and pMOS Array TEG 査読有り

    Kenichi Abe, Shigetoshi Sugawa, Rihito Kuroda, Shunichi Watabe, Naoto, Miyamoto, Akinobu Teramoto, Tadahiro Ohmi, Yutaka Kamata, Katsuhiko Shibusawa

    in proceedings of the 2007 International Image Sensor Workshop 62-65 2007年6月

  253. Accuracy and applicability of low-frequency C-V measurement methods for characterization of ultrathin gate dielectrics with large current 査読有り

    Rihito Kuroda, Akinobu Teramoto, Takanori Komuro, Hiroshi Tatekawa, Shigetoshi Sugawa, Tadahiro Ohmi

    IEEE TRANSACTIONS ON ELECTRON DEVICES 54 (5) 1115-1124 2007年5月

    DOI: 10.1109/TED.2007.893207  

    ISSN:0018-9383

  254. Examination of degradation mechanism due, to negative bias temperature stress from a perspective of hole energy for accurate lifetime prediction 査読有り

    Kazufumi Watanabe, Akinobu Teramoto, Rihito Kuroda, Shigetoshi Sugawa, Tadahiro Uni

    MICROELECTRONICS RELIABILITY 47 (2-3) 409-418 2007年2月

    DOI: 10.1016/j.microrel.2006.06.001  

    ISSN:0026-2714

  255. NBTI mechanism based on hole-injection for accurate lifetime prediction 査読有り

    Akinobu Teramoto, Rihito Kuroda, Tadahiro Ohmi

    ECS Transactions 6 (3) 229-243 2007年

    DOI: 10.1149/1.2728799  

    ISSN:1938-5862 1938-6737

    eISSN:1938-6737

  256. Hot carrier instability mechanism in accumulation-mode normally-off SOI nMOSFETs and their reliability advantage 査読有り

    R. Kuroda, A. Teramoto, W. Cheng, S. Sugawa, T. Ohmi

    ECS Transactions 6 (4) 113-118 2007年

    DOI: 10.1149/1.2728849  

    ISSN:1938-5862 1938-6737

    eISSN:1938-6737

  257. NBTI mechanism based on hole-injection for accurate lifetime prediction 査読有り

    Akinobu Teramoto, Rihito Kuroda, Tadahiro Ohmi

    ECS Transactions 6 (3) 229-243 2007年

    DOI: 10.1149/1.2728799  

    ISSN:1938-5862

    eISSN:1938-6737

  258. Hot carrier instability mechanism in accumulation-mode normally-off SOI nMOSFETs and their reliability advantage 査読有り

    R. Kuroda, A. Teramoto, W. Cheng, S. Sugawa, T. Ohmi

    ECS Transactions 6 (4) 113-118 2007年

    DOI: 10.1149/1.2728849  

    ISSN:1938-5862

    eISSN:1938-6737

  259. Modeling and implementation of subthreshold characteristics of accumulation-mode MOSFETs for various SOI layer thickness and impurity concentrations 査読有り

    R. Kuroda, A. Teramoto, W. Cheng, S. Sugawa, T. Ohmi

    Proceedings - IEEE International SOI Conference 55-56 2007年

    DOI: 10.1109/SOI.2007.4357849  

    ISSN:1078-621X

  260. Capacitance-voltage measurement method for ultrathin gate dielectrics using LC resonance circuit 査読有り

    Akinobu Teramoto, Rihito Kuroda, Masanori Komura, Kazufumi Watanabe, Shigetoshi Sugawa, Tadahiro Ohmi

    IEEE Transactions on Semiconductor Manufacturing 19 (1) 43-49 2006年2月

    DOI: 10.1109/TSM.2005.863230  

    ISSN:0894-6507

  261. Accurate circuit performance prediction model and lifetime prediction method of NBT stressed devices for highly reliable ULSI circuits 査読有り

    Rihito Kuroda, Kazufumi Watanabe, Akinobu Teramoto, Michihiko Mifuji, Takahisa Yamaha, Shigetoshi Sugawa, Tadahiro Ohmi

    2006 IEEE International Conference on Integrated Circuit Design and Technology, ICICDT'06 717-720 2006年

    DOI: 10.1109/icicdt.2006.220826  

  262. Accurate circuit performance prediction model and lifetime prediction method of NBT stressed devices for highly reliable ULSI circuits 査読有り

    Rihito Kuroda, Kazufumi Watanabe, Akinobu Teramoto, Michihiko Mifuji, Takahisa Yamaha, Shigetoshi Sugawa, Tadahiro Ohmi

    2006 IEEE INTERNATIONAL CONFERENCE ON INTEGRATED CIRCUIT DESIGN AND TECHNOLOGY, PROCEEDINGS 199-+ 2006年

  263. New NBTI Lifetime Prediction Method for Ultra Thin SiO2 Films 査読有り

    K. Watanabe, R. Kuroda, A. Teramoto, S. Sugawa, T. Ohmi

    208th Electrochemical Society Meeting 738 2005年10月

  264. NEW NBTI LIFETIME PREDICTION METHOD FOR ULTRA THIN SIO2 FILMS-5 査読有り

    K. Watanabe, R. Kuroda, A. Teramoto, S. Sugawa, T. Ohmi

    Electrochemical Society Transactions on Physics and Chemistry of SiO2 and the Si-SiO2 Interface 1 (1) 147-160 2005年10月

  265. NEW LIFETIME PREDICTION METHOD FOR PMOSFETS WITH ULTRA THIN GATE FILMS

    Rihito Kuroda, Kazufumi Watanabe, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    in proceedings of the 3rd Student-organizing International Mini-Conference on Information Electronics 127-130 2005年10月

  266. EOT Measurement for Ultra-Thin gate dielectrics using LC Resonance Circuit 査読有り

    A. Teramoto, M. Komura, R. Kuroda, K. Watanabe, S. Sugawa, T. Ohmi

    in proceeding of the IEEE International Conference on Microelectronic Test Structures 223-227 2005年4月

  267. New NBTI lifetime prediction method for ultra thin siO<inf>2</inf> films 査読有り

    K. Watanabe, R. Kuroda, A. Teramoto, S. Sugawa, T. Ohmi

    ECS Transactions 1 (1) 147-160 2005年

    ISSN:1938-5862

    eISSN:1938-6737

  268. EOT measurement for ultra-thin gate dielectrics using LC resonance circuit 査読有り

    A. Teramoto, M. Komura, R. Kuroda, K. Watanabe, S. Sugawa, T. Ohmi

    IEEE International Conference on Microelectronic Test Structures 223-227 2005年

  269. Accurate circuit performance prediction model and lifetime prediction method of NBT stressed devices for highly reliable ULSI circuits 査読有り

    Rihito Kuroda, Kazufumi Watanabe, Akinobu Teramoto, Michihiko Mifuji, Takahisa Yamaha, Shigetoshi Sugawa, Tadahiro Ohmi

    Technical Digest - International Electron Devices Meeting, IEDM 2005 700-703 2005年

    ISSN:0163-1918

  270. Accurate circuit performance prediction model and lifetime prediction method of NBT stressed devices for highly reliable ULSI circuits 査読有り

    R Kuroda, K Watanabe, A Teramoto, M Mifuji, T Yamaha, S Sugawa, T Ohmi

    IEEE INTERNATIONAL ELECTRON DEVICES MEETING 2005, TECHNICAL DIGEST 717-720 2005年

︎全件表示 ︎最初の5件までを表示

MISC 135

  1. インピーダンス計測プラットフォーム技術を用いた機能性薄膜に関する電気的特性の統計的計測

    齊藤宏河, 鈴木達彦, 光田薫未, 間脇武蔵, 間脇武蔵, 諏訪智之, 寺本章伸, 寺本章伸, 須川成利, 黒田理人, 黒田理人

    応用物理学会秋季学術講演会講演予稿集(CD-ROM) 84th 2023年

    ISSN: 2758-4704

  2. 電流計測プラットフォームを用いた高容量密度トレンチキャパシタのトラップ特性に関する統計的計測

    鈴木達彦, 齊藤宏河, 光田薫未, 間脇武蔵, 間脇武蔵, 須川成利, 黒田理人, 黒田理人

    応用物理学会秋季学術講演会講演予稿集(CD-ROM) 84th 2023年

    ISSN: 2758-4704

  3. 抵抗計測プラットフォームを用いたHfOx膜抵抗変化の統計的計測

    光田薫未, 鈴木達彦, 齊藤宏河, 間脇武蔵, 間脇武蔵, 須川成利, 黒田理人, 黒田理人

    応用物理学会秋季学術講演会講演予稿集(CD-ROM) 84th 2023年

    ISSN: 2758-4704

  4. ランダムテレグラフノイズのMOSトランジスタ形状およびドレイン-ソース間電圧依存性の統計的解析

    間脇武蔵, 間脇武蔵, 黒田理人, 黒田理人

    応用物理学会秋季学術講演会講演予稿集(CD-ROM) 84th 2023年

    ISSN: 2758-4704

  5. 電気特性計測プラットフォームを用いたランダムテレグラフの動作条件依存性の統計的解析

    間脇武蔵, 間脇武蔵, 黒田理人, 黒田理人

    電子情報通信学会技術研究報告(Web) 123 (211(SDM2023 54-61)) 2023年

    ISSN: 2432-6380

  6. 次世代メモリ用薄膜の統計的解析を行う高精度・広範囲抵抗測定技術

    光田薫未, 天満亮介, 間脇武蔵, 間脇武蔵, 黒田理人, 黒田理人

    電子情報通信学会技術研究報告(Web) 122 (215(SDM2022 54-63)) 2022年

    ISSN: 2432-6380

  7. IPAを用いた銅・酸化銅上の表面改質

    間脇武蔵, 寺本章伸, 石井勝利, 志波良信, 諏訪智之, 東雲秀司, 清水亮, 梅澤好太, 黒田理人, 白井泰雪, 須川成利

    電子情報通信学会技術研究報告(Web) 121 (71(SDM2021 22-29)) 2021年

    ISSN: 2432-6380

  8. トランジスタ構造・動作領域・キャリア走行方向によるRTN挙動の統計的解析

    秋元暸, 黒田理人, 黒田理人, 間脇武蔵, 須川成利

    電子情報通信学会技術研究報告(Web) 121 (212(SDM2021 44-52)) 2021年

    ISSN: 2432-6380

  9. 高密度容量を用いたアナログ相関多重サンプリングによるCMOSイメージセンサのノイズ低減

    鴨志田俊太, 鈴木学, 黒田理人, 黒田理人, 須川成利

    映像情報メディア学会技術報告 45 (11(IST2021 8-21)) 2021年

    ISSN: 1342-6893

  10. 45μm厚裏面照射型フォトダイオード・2段LOFICを有する広ダイナミックレンジ軟X線検出グローバルシャッタCMOSイメージセンサ

    四家寛也, 黒田理人, 黒田理人, 黒田理人, 小林諒太, 村田真麻, 藤原康行, 鈴木学, 原田将真, 柴口拓, 栗山尚也, 初井宇記, 宮脇淳, 宮脇淳, 宮脇淳, 原田哲男, 山崎裕一, 山崎裕一, 渡邊健夫, 原田慈久, 原田慈久, 須川成利, 須川成利

    映像情報メディア学会技術報告 45 (11(IST2021 8-21)) 2021年

    ISSN: 1342-6893

  11. Si(551)および(15 17 3)表面の構造解析

    青山大晃, 内藤完, 中塚聡平, 小川修一, 虻川匡司, 江口豊明, 服部賢, 服部梓, 黒田理人

    日本表面真空学会東北・北海道支部学術講演会講演予稿集 2020 (CD-ROM) 2021年

  12. 超小型紫外線センシングSiフォトダイオード・イメージセンサー技術 (特集 紫外線光デバイス開発と実用化の期待)

    黒田 理人, da Silva Yhang Ricardo Sipauba Carvalho, 須川 成利

    Optronics : 光技術コーディネートジャーナル 39 (6) 77-82 2020年6月

    出版者・発行元: オプトロニクス社

    ISSN: 0286-9659

  13. 概要およびトピック : 微細画素・オプティクス,ノイズ,高ダイナミックレンジ等 (特集 イメージセンサ技術の最新動向) -- (IISW 2019レビュー)

    黒田 理人

    映像情報メディア学会誌 = The journal of the Institute of Image Information and Television Engineers 74 (2) 263-268 2020年3月

    出版者・発行元: 映像情報メディア学会

    ISSN: 1342-6907

  14. トレンチ型2段LOFICを有する単一露光・線形応答・120dB超広ダイナミックレンジCMOSイメージセンサ (情報センシング)

    藤原 康行, 村田 真麻, 中山 翔太, 黒田 理人, 須川 成利

    映像情報メディア学会技術報告 = ITE technical report 44 (11) 81-84 2020年3月

    出版者・発行元: 映像情報メディア学会

    ISSN: 1342-6893

  15. 注入ゲート導入型ノーマリオフFloating Gate GaN HEMTの動作原理と構造 (電子デバイス)

    南雲 謙志, 木本 大幾, 諏訪 智之, 寺本 章伸, 白田 理一郎, 高谷 信一郎, 黒田 理人, 須川 成利

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 119 (408) 55-58 2020年1月31日

    出版者・発行元: 電子情報通信学会

    ISSN: 0913-5685

  16. 高精度電流計測アレイテスト回路を用いたMIM素子の大規模測定

    鈴木勇人, PARK Hyeonwoo, 寺本章伸, 寺本章伸, 黒田理人, 黒田理人, 諏訪智之, 須川成利, 須川成利

    応用物理学会春季学術講演会講演予稿集(CD-ROM) 67th 2020年

  17. 映像情報メディア年報2020シリーズ(第10回)情報センシングの研究開発動向

    秋田純一, 井口義則, 池辺将之, 丸山基之, 関根寛, 黒田理人, 香川景一郎, 小室孝, 徳田崇, 船津良平, 西山円, 廣瀬裕, 藤澤大介, 杉山行信

    映像情報メディア学会誌 74 (5) 2020年

    ISSN: 1342-6907

  18. 統計的計測によるドレイン-ソース間電圧がランダムテレグラフノイズに与える影響の解析

    秋元瞭, 黒田理人, 黒田理人, 寺本章伸, 寺本章伸, 間脇武蔵, 市野真也, 諏訪智之, 須川成利

    電子情報通信学会技術研究報告(Web) 120 (205(SDM2020 14-21)) 2020年

    ISSN: 2432-6380

  19. IPAを用いた銅・酸化銅上の表面改質

    間脇武蔵, 寺本章伸, 石井勝利, 志波良信, 諏訪智之, 東雲秀司, 清水亮, 梅澤好太, 黒田理人, 白井泰雪, 須川成利

    電子情報通信学会技術研究報告(Web) 120 (205(SDM2020 14-21)) 2020年

    ISSN: 2432-6380

  20. 3次元積層に向けた高容量密度・高耐圧SiN絶縁膜粗面トレンチキャパシタの開発

    齊藤宏河, 吉田彩乃, 黒田理人, 黒田理人, 柴田寛, 柴口拓, 栗山尚也, 須川成利

    電子情報通信学会技術研究報告(Web) 120 (205(SDM2020 14-21)) 2020年

    ISSN: 2432-6380

  21. Si(551)表面の表面構造解析 I

    内藤完, 中塚聡平, 小川修一, 虻川匡司, 江口豊明, 服部賢, 服部梓, 黒田理人

    日本物理学会講演概要集(CD-ROM) 75 (2) 2020年

    ISSN: 2189-079X

  22. Si(551)表面のW-RHEEDとSTMによる構造解析

    内藤完, 中塚聡平, 小川修一, 虻川匡司, 江口豊明, 服部賢, 服部梓, 黒田理人

    日本表面真空学会学術講演会要旨集(Web) 2020 2020年

    ISSN: 2434-8589

  23. 高感度・高精細・リアルタイム近接容量イメージセンサ

    黒田 理人, 山本 将大, 須川 成利

    応用物理 89 (6) 328-332 2020年

    出版者・発行元: 公益社団法人 応用物理学会

    DOI: 10.11470/oubutsu.89.6_328  

    ISSN: 0369-8009

    詳細を見る 詳細を閉じる

    <p>近接容量イメージセンサは,計測対象にセンサを近づけた際に生じる近接容量の2次元分布を計測・可視化するセンサであり,指紋認証やタッチセンサ,材料内部の非破壊検査,液面レベルセンサなどに用いられている.今後はフラットパネル・電子回路基板の非接触電気検査,薄膜シート材料の異物検査,イオン濃度や生細胞の可視化などへの活用も期待されている.本稿では,CMOSイメージセンサの研究で培ったノイズリダクション技術を応用し,0.1aFの容量検出精度を達成した高感度・高精細・リアルタイム近接容量イメージセンサの原理,性能,計測応用事例を紹介する.</p>

  24. 招待講演 先進CMOSイメージセンサ開発へ向けたRTSノイズの計測・解析技術 (シリコン材料・デバイス) 招待有り

    黒田 理人

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 119 (273) 55-58 2019年11月7日

    出版者・発行元: 電子情報通信学会

    ISSN: 0913-5685

  25. 依頼講演 Co/Si界面の酸化物層がショットキー障壁高さと接触抵抗に及ぼす影響 (シリコン材料・デバイス)

    城戸 光一, 佐藤 謙, 黒田 理人, 安藤 大輔, 須藤 祐司, 小池 淳一

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 119 (239) 35-38 2019年10月23日

    出版者・発行元: 電子情報通信学会

    ISSN: 0913-5685

  26. 次世代メモリ材料の高精度統計評価を行う抵抗測定プラットフォーム (シリコン材料・デバイス)

    前田 健, 大村 裕弥, 黒田 理人, 寺本 章伸, 諏訪 智之, 須川 成利

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 119 (239) 59-64 2019年10月23日

    出版者・発行元: 電子情報通信学会

    ISSN: 0913-5685

  27. 高SN比CMOS吸光イメージセンサによる半導体プロセスチャンバー内ガス濃度分布計測 (シリコン材料・デバイス)

    髙橋 圭吾, da Silva Yhang Ricardo Sipauba Carvalho, 黒田 理人, 藤原 康行, 村田 真麻, 石井 秀和, 森本 達郎, 諏訪 智之, 寺本 章伸, 須川 成利

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 119 (239) 65-68 2019年10月23日

    出版者・発行元: 電子情報通信学会

    ISSN: 0913-5685

  28. 高速ビデオカメラを用いたマグネトロンスパッタリングプラズマの揺動現象の観察 (シリコン材料・デバイス)

    山崎 森太郎, 後藤 哲也, 鈴木 学, 黒田 理人, 須川 成利

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 119 (239) 69-72 2019年10月23日

    出版者・発行元: 電子情報通信学会

    ISSN: 0913-5685

  29. 高SN比吸光イメージングによる真空チャンバー内ガス濃度分布計測 (情報センシング)

    髙橋 圭吾, da Silva Yhang Ricardo Sipauba Carvalho, 沼尾 直毅, 黒田 理人, 藤原 康行, 村田 真麻, 石井 秀和, 森本 達郎, 諏訪 智之, 寺本 章伸, 須川 成利

    映像情報メディア学会技術報告 = ITE technical report 43 (18) 11-14 2019年6月

    出版者・発行元: 映像情報メディア学会

    ISSN: 1342-6893

  30. IEDM 2018参加レポート

    黒田 理人

    映像情報メディア学会誌 = The journal of the Institute of Image Information and Television Engineers 73 (3) 481-486 2019年5月

    出版者・発行元: 映像情報メディア学会

    ISSN: 1342-6907

  31. 横型オーバーフロー蓄積トレンチ容量を有する飽和電子数2430万個・近赤外高感度CMOSイメージセンサ

    村田真麻, 黒田理人, 藤原康行, 大塚雄介, 柴田寛, 柴口拓, 鎌田浩, 三浦規之, 栗山尚也, 須川成利

    映像情報メディア学会技術報告 43 (11(IST2019 12-22)) 27‐32 2019年3月15日

    ISSN: 1342-6893

  32. 横型オーバーフロー蓄積トレンチ容量を有する飽和電子数2430万個・近赤外高感度CMOSイメージセンサ (情報センシング)

    村田 真麻, 黒田 理人, 藤原 康行, 大塚 雄介, 柴田 寛, 柴口 拓, 鎌田 浩, 三浦 規之, 栗山 尚也, 須川 成利

    映像情報メディア学会技術報告 = ITE technical report 43 (11) 27-32 2019年3月

    出版者・発行元: 映像情報メディア学会

    ISSN: 1342-6893

  33. 0.1aFの検出精度を有するCMOS近接容量イメージセンサ (情報センシング)

    山本 将大, 黒田 理人, 鈴木 学, 後藤 哲也, 羽森 寛, 村上 真一, 安田 俊朗, 横道 やよい, 須川 成利

    映像情報メディア学会技術報告 = ITE technical report 43 (11) 49-54 2019年3月

    出版者・発行元: 映像情報メディア学会

    ISSN: 1342-6893

  34. Emerging Tech 電子デバイス 混載MRAMとEUVは量産へ FinFET後継「GAA」も : 量子コンピューターや5Gに照準、「2018 IEDM」報告

    黒田 理人

    日経エレクトロニクス = Nikkei electronics : sources of innovation (1200) 61-68 2019年2月

    出版者・発行元: 日経BP社

    ISSN: 0385-1680

    詳細を見る 詳細を閉じる

    2018年12月に米国で開催された電子デバイス技術の旗艦学会「2018 IEDM」における注目の発表を東北大学 准教授の黒田理人氏が解説する。注目したのは、半導体集積回路・デバイス・プロセス技術に関する最新成果だ。

  35. A Review of the 2018 IEEE International Electron Devices Meeting (IEDM)

    Kirsten Moselund, Rihito Kuroda

    IEEE Electron Device Society Newsletter 26 (1) 7-10 2019年1月

  36. リアルタイム分光イメージングシステム用の高速ナローバンドパス液晶フィルタ 査読有り

    寺島康平, 石鍋隆宏, 若生一広, 藤原康行, 青柳雄介, 村田真麻, 那須野悟史, 若嶋駿一, 黒田理人, 柴田陽生, 須川成利, 藤掛英夫

    Optics &amp; Photonics Japan講演予稿集(CD-ROM) E101C (11) 897-900 2018年11月

    DOI: 10.1587/transele.E101.C.897  

    ISSN: 1745-1353

  37. ソースとドレインが非対称のMOSFETを用いた電気的特性ばらつきの統計的解析 (シリコン材料・デバイス)

    市野 真也, 寺本 章伸, 黒田 理人, 間脇 武蔵, 諏訪 智之, 須川 成利

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 118 (241) 51-56 2018年10月17日

    出版者・発行元: 電子情報通信学会

    ISSN: 0913-5685

  38. ソースとドレインが非対称のMOSFETを用いた電気的特性ばらつきの統計的解析

    市野真也, 寺本章伸, 黒田理人, 間脇武蔵, 諏訪智之, 須川成利, 須川成利

    電子情報通信学会技術研究報告 118 (241(SDM2018 52-63)) 51‐56 2018年10月10日

    ISSN: 0913-5685

  39. バーストCDS動作を用いた撮影速度1億2500万コマ/秒の高速CMOSイメージセンサ (情報センシング)

    鈴木 学, 黒田 理人, 須川 成利

    映像情報メディア学会技術報告 = ITE technical report 42 (30) 5-8 2018年9月

    出版者・発行元: 映像情報メディア学会

    ISSN: 1342-6893

  40. 映像情報メディア年報2018シリーズ(第10回)情報センシングの研究開発動向

    浜本 隆之, 大高 俊徳, 池辺 将之, 樽木 久征, 小林 昌弘, 黒田 理人, 小室 孝, 德田 崇, 船津 良平, 近藤 亨, 廣瀬 裕, 藤澤 大介, 山本 洋夫

    映像情報メディア学会誌 = The journal of the Institute of Image Information and Television Engineers 72 (4) 537-550 2018年7月

    出版者・発行元: 映像情報メディア学会

    ISSN: 1342-6907

  41. 高紫外光感度・高飽和CMOSイメージセンサを用いたサブppmオーダのオゾン水対流のイメージング (情報センシング)

    村田 真麻, 藤原 康行, 青柳 雄介, 黒田 理人, 須川 成利

    映像情報メディア学会技術報告 = ITE technical report 42 (19) 13-16 2018年6月

    出版者・発行元: 映像情報メディア学会

    ISSN: 1342-6893

  42. 最高撮像速度5000万コマ/秒を有するプロトタイプグローバルシャッタ高速CMOSイメージセンサ (情報センシング 固体撮像技術および一般)

    鈴木 学, 鈴木 将, 黒田 理人, 須川 成利

    映像情報メディア学会技術報告 = ITE technical report 42 (10) 39-42 2018年3月

    出版者・発行元: 映像情報メディア学会

    ISSN: 1342-6893

  43. 三次元積層を用いた先進CMOSイメージセンサ技術―イメージセンサ技術のさらなる進化― 査読有り

    黒田 理人

    日本工業出版, 光アライアンス 特集:生体イメージングを推める光デバイス 28 (1) 12-16 2017年12月

  44. 三次元積層を用いた先進CMOSイメージセンサ技術 : イメージング技術のさらなる進化 (特集 生体イメージングを推める光デバイス)

    黒田 理人

    光アライアンス 28 (12) 12-16 2017年12月

    出版者・発行元: 日本工業出版

    ISSN: 0917-026X

  45. 生体イメージングを推める光デバイス 三次元積層を用いた先進CMOSイメージセンサ技術=イメージング技術のさらなる進化=

    黒田理人

    光アライアンス 28 (12) 12‐16 2017年12月1日

    ISSN: 0917-026X

  46. Experimental Investigation of Localized Stress Induced Leakage Current Distribution and Its Decrease by Atomically Flattening Process (シリコン材料・デバイス)

    朴 賢雨, 黒田 理人, 後藤 哲也, 諏訪 智之, 寺本 章伸, 木本 大幾, 須川 成利

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 117 (260) 9-14 2017年10月25日

    出版者・発行元: 電子情報通信学会

    ISSN: 0913-5685

  47. 紫外吸光とチャージアンプ回路を用いた高感度・小型リアルタイムガス濃度計 (シリコン材料・デバイス)

    石井 秀和, 永瀬 正明, 池田 信一, 志波 良信, 白井 泰雪, 黒田 理人, 須川 成利

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 117 (260) 35-38 2017年10月25日

    出版者・発行元: 電子情報通信学会

    ISSN: 0913-5685

  48. 高精度アレイテスト回路計測技術を用いたソースフォロアトランジスタの動作条件変化によるランダムテレグラフノイズの挙動解析 (シリコン材料・デバイス)

    市野 真也, 間脇 武蔵, 寺本 章伸, 黒田 理人, 若嶋 駿一, 須川 成利

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 117 (260) 57-62 2017年10月25日

    出版者・発行元: 電子情報通信学会

    ISSN: 0913-5685

  49. 高精度アレイテスト回路計測技術を用いたソースフォロアトランジスタの動作条件変化によるランダムテレグラフノイズの挙動解析

    市野真也, 間脇武蔵, 寺本章伸, 黒田理人, 若嶋駿一, 須川成利, 須川成利

    電子情報通信学会技術研究報告 117 (260(SDM2017 50-60)) 57‐62 2017年10月18日

    ISSN: 0913-5685

  50. 紫外吸光とチャージアンプ回路を用いた高感度・小型リアルタイムガス濃度計

    石井秀和, 永瀬正明, 池田信一, 志波良信, 白井泰雪, 黒田理人, 須川成利, 須川成利

    電子情報通信学会技術研究報告 117 (260(SDM2017 50-60)) 35‐38 2017年10月18日

    ISSN: 0913-5685

  51. SNR 70dB超のCMOSイメージセンサと半値幅10nmのチューナブルマルチバンドパスフィルタを用いた分光イメージングシステム (情報センシング)

    青柳 雄介, 藤原 康行, 村田 真麻, 那須野 悟史, 若嶋 駿一, 黒田 理人, 寺島 康平, 石鍋 隆宏, 藤掛 英夫, 若生 一広, 須川 成利

    映像情報メディア学会技術報告 = ITE technical report 41 (32) 9-12 2017年9月

    出版者・発行元: 映像情報メディア学会

    ISSN: 1342-6893

  52. 画素SFで発生するランダムテレグラフノイズの統計的解析 : トランジスタ形状・時定数・遷移数の影響 (情報センシング)

    黒田 理人, 寺本 章伸, 市野 真也, 間脇 武蔵, 若嶋 駿一, 須川 成利

    映像情報メディア学会技術報告 = ITE technical report 41 (32) 13-16 2017年9月

    出版者・発行元: 映像情報メディア学会

    ISSN: 1342-6893

  53. Cameras with on-chip memory CMOS image sensors 査読有り

    Rihito Kuroda, Shigetoshi Sugawa

    The Micro-World Observed by Ultra High-Speed Cameras: We See What You Don't See 103-124 2017年8月30日

    出版者・発行元: The Micro-World Observed by Ultra High-Speed Cameras: We See What You Don't See

    DOI: 10.1007/978-3-319-61491-5_5  

  54. 高速CMOSイメージセンサ技術の歩み

    須川成利, 鈴木学, 鈴木将, 黒田理人

    映像情報メディア学会年次大会講演予稿集(CD-ROM) 2017 ROMBUNNO.S5‐2 2017年8月16日

    ISSN: 1880-6961

  55. 急峻pn接合Siダイオード技術を用いた高感度・高速性能低加速電圧電子線検出器 (情報センシング)

    黒田 理人, 幸田 安真, 原 昌也, 角田 博之, 須川 成利

    映像情報メディア学会技術報告 = ITE technical report 41 (10) 39-42 2017年3月

    出版者・発行元: 映像情報メディア学会

    ISSN: 1342-6893

  56. 高密度アナログメモリを搭載した超高速グローバルシャッタCMOSイメージセンサ (情報センシング)

    鈴木 学, 鈴木 将, 黒田 理人, 熊谷 勇喜, 千葉 亮, 三浦 規之, 栗山 尚也, 須川 成利

    映像情報メディア学会技術報告 = ITE technical report 41 (10) 7-10 2017年3月

    出版者・発行元: 映像情報メディア学会

    ISSN: 1342-6893

  57. Spectral Absorption Imaging with an Over 70dB SNR CMOS Image Sensor

    村田 真麻, 藤原 康行, 青柳 雄介, 黒田 理人, 須川 成利

    電気関係学会東北支部連合大会講演論文集 2017 (0) 16-16 2017年

    出版者・発行元: 電気関係学会東北支部連合大会実行委員会

    DOI: 10.11528/tsjc.2017.0_16  

  58. 撮像速度1000万コマ/秒を超える高速度CMOSイメージセンサ技術の進展

    黒田理人, 鈴木学, 鈴木将, 須川成利

    高速度イメージングとフォトニクスに関する総合シンポジウム講演論文集(CD-ROM) 2017 ROMBUNNO.3‐2 2017年

  59. 高濃度ドーピングされた(100)方位SOIウェーハに対するSi選択エピタキシャル成長後の平坦な表面形成技術 (シリコン材料・デバイス)

    古川 貴一, 寺本 章伸, 黒田 理人, 諏訪 智之, 橋本 圭市, 須川 成利, 鈴木 大介, 千葉 洋一郎, 石井 勝利, 清水 亮, 長谷部 一秀

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 116 (270) 9-14 2016年10月26日

    出版者・発行元: 電子情報通信学会

    ISSN: 0913-5685

  60. 原子層堆積法で成膜したAl₂O₃膜界面に及ぼす酸化種の影響 (シリコン材料・デバイス)

    齋藤 雅也, 諏訪 智之, 寺本 章伸, 黒田 理人, 幸田 安真, 杉田 久哉, 林 真里恵, 土本 淳一, 石井 秀和, 志波 良信, 白井 泰雪, 須川 成利

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 116 (270) 27-30 2016年10月26日

    出版者・発行元: 電子情報通信学会

    ISSN: 0913-5685

  61. 動作電圧変化時の過渡状態におけるランダムテレグラフノイズの挙動に関する研究 (シリコン材料・デバイス)

    間脇 武蔵, 寺本 章伸, 黒田 理人, 市野 真也, 後藤 哲也, 諏訪 智之, 須川 成利

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 116 (270) 35-38 2016年10月26日

    出版者・発行元: 電子情報通信学会

    ISSN: 0913-5685

  62. 高濃度ドーピングされた(100)方位SOIウェーハに対するSi選択エピタキシャル成長後の平坦な表面形成技術

    古川貴一, 寺本章伸, 黒田理人, 諏訪智之, 橋本圭市, 須川成利, 鈴木大介, 千葉洋一郎, 石井勝利, 清水亮, 長谷部一秀

    電子情報通信学会技術研究報告 116 (270(SDM2016 69-78)) 9‐14 2016年10月19日

    ISSN: 0913-5685

  63. 動作電圧変化時の過渡状態におけるランダムテレグラフノイズの挙動に関する研究

    間脇武蔵, 寺本章伸, 黒田理人, 市野真也, 後藤哲也, 諏訪智之, 須川成利, 須川成利

    電子情報通信学会技術研究報告 116 (270(SDM2016 69-78)) 35‐38 2016年10月19日

    ISSN: 0913-5685

  64. 原子層堆積法で成膜したAl2O3膜界面に及ぼす酸化種の影響

    齋藤雅也, 諏訪智之, 寺本章伸, 黒田理人, 幸田安真, 杉田久哉, 林真里恵, 土本淳一, 石井秀和, 志波良信, 白井泰雪, 須川成利

    電子情報通信学会技術研究報告 116 (270(SDM2016 69-78)) 27‐30 2016年10月19日

    ISSN: 0913-5685

  65. 映像情報メディア年報2015シリーズ(第9回)情報センシングの研究開発動向

    須川 成利, 大竹 浩, 池辺 将之, 佐藤 俊明, 小林 昌弘, 黒田 理人, 浜本 隆之, 小室 孝, 德田 崇, 山下 誉行, 綱井 史郎, 廣瀬 裕, 赤井 大輔, 山本 洋夫

    映像情報メディア学会誌 = The journal of the Institute of Image Information and Television Engineers 70 (4) 609-622 2016年7月

    出版者・発行元: 映像情報メディア学会

    ISSN: 1342-6907

  66. 情報センシングの研究開発動向

    須川 成利, 山下 誉行, 綱井 史郎, 廣瀬 裕, 赤井 大輔, 山本 洋夫, 大竹 浩, 池辺 将之, 佐藤 俊明, 小林 昌弘, 黒田 理人, 浜本 隆之, 小室 孝, 德田 崇

    映像情報メディア学会誌 70 (7) 609-622 2016年

    出版者・発行元: 一般社団法人 映像情報メディア学会

    DOI: 10.3169/itej.70.609  

    ISSN: 1342-6907

  67. Dynamic Response of Random Telegraph Noise Time Constants toward Bias Voltage Changing

    間脇 武蔵, 寺本 章信, 市野 真也, 黒田 理人, 後藤 哲也, 諏訪 智之, 須川 成利

    電気関係学会東北支部連合大会講演論文集 2016 (0) 64-64 2016年

    出版者・発行元: 電気関係学会東北支部連合大会実行委員会

    DOI: 10.11528/tsjc.2016.0_64  

  68. 常用光感度をISO16000に高めた最高撮影速度1000万コマ/秒の高速度ビデオカメラによる高速現象の可視化(固体撮像技術および一般)

    鈴木 学, 鈴木 将, 邵 繁, 黒田 理人, 徳岡 信行, 川口 泰範, 冨永 秀樹, 須川 成利

    映像情報メディア学会技術報告 40 (0) 25-28 2016年

    出版者・発行元: 一般社団法人 映像情報メディア学会

    DOI: 10.11485/itetr.40.12.0_25  

    ISSN: 1342-6893

    詳細を見る 詳細を閉じる

    光感度の向上と低消費電力化を達成した,画素領域周辺部にオンチップ記憶メモリを有する高速度CMOSイメージセンサとそれを搭載した最高撮影速度1000万コマ/秒の高速度ビデオカメラについて報告する.また,それを用いた高速現象の撮影について述べ,撮影例を報告する.

  69. 高光耐性・広ダイナミックレンジCMOSイメージセンサを用いた 紫外分光イメージング

    藤原 康行, 那須野 悟史, 若嶋 駿一, 楠原 史章, 石井 秀和, 黒田 理人, 須川 成利

    映像情報メディア学会技術報告 40 (0) 13-16 2016年

    出版者・発行元: 一般社団法人 映像情報メディア学会

    DOI: 10.11485/itetr.40.15.0_13  

    ISSN: 1342-6893

    詳細を見る 詳細を閉じる

    広光波長帯域・高光耐性PD,高感度・高飽和性能を有するLOFIC CMOSイメージセンサを用いた紫外分光イメージングについて述べる.設計,試作したイメージセンサが,190-1000nmの感度波長帯域,94dBのダイナミックレンジ,87ke-の飽和容量(FWC),1.2kfpsの撮像速度を有し,紫外光照射後も感度と暗電流の劣化が生じないことを確認した.また,本センサを適用した紫外分光イメージングシステムを構築し,可視光下で計測することのできない化学物質の液中の拡散現象をリアルタイムに撮影することに成功した.

  70. シリコンフォトダイオードを用いた分光感度差分型紫外線センサ

    Yhang Ricardo Sipauba Carvalho da Silva, 幸田 安真, 那須野 悟史, 黒田 理人, 須川 成利

    映像情報メディア学会技術報告 40 (0) 5-8 2016年

    出版者・発行元: 一般社団法人 映像情報メディア学会

    DOI: 10.11485/itetr.40.15.0_5  

    ISSN: 1342-6893

    詳細を見る 詳細を閉じる

    ドーパントの濃度プロファイルを調整することで,紫外線高感度PDと紫外線低感度PDの2種類のPDを受光素子内に作り込み,それらの分光感度差からSiのみで紫外線を検出するセンサを作製した.試作したセンサにより,310 nmの紫外線に対して0.132 A/Wの感度,500 nmより長い波長に対して0.014 A/W以下の残留感度を有する,紫外線に対して選択性の高い感度スペクトルを得た.本稿では,開発した分光感度差分型紫外線センサの原理,作製方法と測定結果について論じる.

  71. 画素毎の接続を用いた画素内に横型オーバーフロー蓄積容量およびAD変換器を有する露光時間途切れのないグローバルシャッタ積層型CMOSイメージセンサ

    黒田 理人, 須郷 秀武, 若嶋 駿一, 須川 成利

    映像情報メディア学会技術報告 40 (0) 11-14 2016年

    出版者・発行元: 一般社団法人 映像情報メディア学会

    DOI: 10.11485/itetr.40.32.0_11  

    ISSN: 1342-6893

  72. 高い選択比をもつSiNxエッチングガスを用いたFinFET構造の作製

    小尻尚志, 小尻尚志, 諏訪智之, 橋本圭市, 寺本章伸, 黒田理人, 須川成利, 須川成利

    電子情報通信学会技術研究報告 115 (362(EID2015 9-24)) 1‐4 2015年12月7日

    ISSN: 0913-5685

  73. Electrical Properties of MOSFETs Introducing Atomically Flat Gate Insulator/Silicon Interface (シリコン材料・デバイス)

    後藤 哲也, 黒田 理人, 諏訪 智之

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 115 (280) 17-22 2015年10月29日

    出版者・発行元: 電子情報通信学会

    ISSN: 0913-5685

  74. トンネル電流・拡散電流併用MOSFETのデバイスシミュレーション検討 (シリコン材料・デバイス)

    古川 貴一, 寺本 章伸, 黒田 理人

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 115 (280) 35-40 2015年10月29日

    出版者・発行元: 電子情報通信学会

    ISSN: 0913-5685

  75. トンネル電流・拡散電流併用MOSFETのデバイスシミュレーション検討

    古川貴一, 寺本章伸, 黒田理人, 諏訪智之, 橋本圭市, 小尻尚志, 須川成利, 須川成利

    電子情報通信学会技術研究報告 115 (280(SDM2015 71-83)) 35-40 2015年10月22日

    ISSN: 0913-5685

  76. 高精度ガス制御器を用いたAl2O3のALD成膜におけるプロセス温度の検討

    杉田久哉, 幸田安真, 諏訪智之, 黒田理人, 後藤哲也, 石井秀和, 山下哲, 寺本章伸, 須川成利, 須川成利, 大見忠弘

    電子情報通信学会技術研究報告 115 (280(SDM2015 71-83)) 63-68 2015年10月22日

    ISSN: 0913-5685

  77. 極限制御プロセスを用いた高性能・高機能イメージセンサ技術

    黒田理人

    電子情報通信学会エレクトロニクスソサイエティNEWS LETTER (161) 24-24 2015年7月

  78. 浮遊容量負荷読み出しを用いたCMOSイメージセンサの読み出しゲインと線形範囲向上効果(固体撮像技術および一般)

    若嶋 駿一, 楠原 史章, 黒田 理人, 須川 成利

    映像情報メディア学会技術報告 39 (0) 41-44 2015年

    出版者・発行元: 一般社団法人 映像情報メディア学会

    DOI: 10.11485/itetr.39.16.0_41  

    ISSN: 1342-6893

    詳細を見る 詳細を閉じる

    浮遊容量負荷読み出しは,従来の定電流読み出し方法と比較して,画素信号読み出しゲインと線形範囲が向上する.試作した2.8μm画素,1140^H×768^V, 2画素共有型CMOSイメージセンサを測定し,電源電圧2.0Vにおいて,8%のゲイン向上と47%の線形範囲拡大の効果を確認したのでその詳細を報告する.

  79. ゲート絶縁膜/Si界面の原子オーダー平坦化によるランダムテレグラフノイズ低減効果(高機能イメージセンシングとその応用)

    黒田 理人, 小原 俊樹, 後藤 哲也, 赤川 直也, 木本 大幾, 寺本 章伸, 須川 成利

    映像情報メディア学会技術報告 39 (0) 35-38 2015年

    出版者・発行元: 一般社団法人 映像情報メディア学会

    DOI: 10.11485/itetr.39.17.0_35  

    ISSN: 1342-6893

    詳細を見る 詳細を閉じる

    ゲート絶縁膜/Si界面の原子オーダー平坦化プロセス技術をシャロートレンチアイソレーション(STI)素子分離を有する0.22μm CMOSテクノロジーに導入した.作製した大規模アレイテスト回路を用いて100万個を超えるトランジスタの測定を行い,ランダムテレグラフノイズ(RTN)の低減を確認した.本稿では明らかになった原子オーダー平坦化によるRTNの低減効果について報告する.

  80. 電荷電圧変換ゲイン240μV/e^-、飽和電子200ke^-、感度波長帯域190-1000nmを有するCMOSイメージセンサ(イメージセンサおよび一般,2015 IISWとVLSIシンポジウムからの発表報告)

    那須野 悟史, 若嶋 駿一, 楠原 史章, 黒田 理人, 須川 成利

    映像情報メディア学会技術報告 39 (0) 49-52 2015年

    出版者・発行元: 一般社団法人 映像情報メディア学会

    DOI: 10.11485/itetr.39.35.0_49  

    ISSN: 1342-6893

    詳細を見る 詳細を閉じる

    広光波長帯域・高信頼性PD技術,低容量FD形成技術,LOFIC技術,埋め込みチャネル技術を融合した画素ピッチ5.6μm,画素数1280×960のCMOSイメージセンサの設計,試作,測定評価を行った.最小加工寸法0.18μm-CMOSイメージセンサプロセステクノロジを用いて試作したチップの測定の結果,240μV/e^-の電荷電圧変換ゲイン,200ke^-の飽和電子数,190-1000nmの広い感度波長帯域の性能を得た.高出力重水素ランプによる220時間の紫外光照射後も感度劣化が起こらない高い信頼性を有することを確認した.

  81. フローティングディフュージョン容量成分の解析・低減技術と高感度・高飽和CMOSイメージセンサへの適用(イメージセンサおよび一般,2015 IISWとVLSIシンポジウムからの発表報告)

    楠原 史章, 若嶋 駿一, 那須野 悟史, 黒田 理人, 須川 成利

    映像情報メディア学会技術報告 39 (0) 53-56 2015年

    出版者・発行元: 一般社団法人 映像情報メディア学会

    DOI: 10.11485/itetr.39.35.0_53  

    ISSN: 1342-6893

    詳細を見る 詳細を閉じる

    フローティングディフュージョン(FD)容量(C_<FD>)成分の解析と低減技術,およびそれらを適用して試作した高感度・高飽和CMOSイメージセンサについて述べる.テストパターンを用いたC_<FD>成分抽出結果を解析し,C_<FD>を極小化する非LDD・低濃度拡散層構造を提案した.横型オーバーフロー蓄積容量(LOFIC),デュアルゲイン列アンプ,浮遊容量負荷読み出し,埋め込みチャネル画素ソースフォロワ(SF)トランジスタと共に極小CFD構造を適用した最小加工寸法0.18μm-CMOSイメージセンサプロセスを用いて試作した360×1680画素CMOSイメージセンサを評価し,コンバージョンゲイン(CG)243μV/e^-,読み出しノイズ0.46e^-_<rms>,飽和容量(FWC)76ke^-を得た.

  82. Introduction of Atomically Flattening of Silicon Surface in Shallow Trench Isolation Process Technology (シリコン材料・デバイス)

    後藤 哲也, 黒田 理人, 赤川 直矢, 諏訪 智之, 寺本 章伸, 李 翔, 小原 俊樹, 木本 大幾, 須川 成利, 大見 忠弘, 熊谷 勇喜, 鎌田 浩, 渋沢 勝彦

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 114 (255) 7-12 2014年10月16日

    出版者・発行元: 一般社団法人電子情報通信学会

    ISSN: 0913-5685

    詳細を見る 詳細を閉じる

    シリコン表面原子オーダー平坦化技術を,テクノロジーノード0.22μmのshallow trench isolation(STI)プロセス工程へ適用した.原子オーダー平坦面を維持するために,ゲート酸化前のアクティブ領域のシリコン酸化膜のエッチングは遮光した清浄窒素雰囲気で行い,また,ゲート酸化膜はKr/O_2プラズマによるラジカル酸化により形成した.これにより,原子オーダー平坦なゲート絶縁膜/シリコン界面を有する電界効果MOSトランジスタが実現した.STIエッジ部における寄生チャネル形成を抑制するチャネルストップ注入の検討も行った.原子オーダー平坦界面界面を導入したことにより,ゲート絶縁膜の絶縁破壊耐圧が向上した.

  83. MOSFETにおけるランダムテレグラフノイズを引き起こすトラップ密度の解析に関する研究 (シリコン材料・デバイス)

    小原 俊樹, 寺本 章伸, 黒田 理人, 米澤 彰浩, 後藤 哲也, 諏訪 智之, 須川 成利, 大見 忠弘

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 114 (255) 55-59 2014年10月16日

    出版者・発行元: 一般社団法人電子情報通信学会

    ISSN: 0913-5685

    詳細を見る 詳細を閉じる

    アレイテスト回路を使用することで多数のサンプルにおいて,2準位以上のRandom Telegraph Noise(RTN)の発生割合を統計的に評価した.また,3・4準位のRTNにおいて,マルチトラップ間の相関を評価し,3・4準位RTNを引き起こしているトラップの数及びRTNとして発現するトラップの密度を求めた.このようなRTNを引き起こすトラップ密度の解析はRTNを低減する際に重要となる.

  84. MOSFETにおけるランダムテレグラフノイズを引き起こすトラップ密度の解析に関する研究

    小原俊樹, 寺本章伸, 黒田理人, 米澤彰浩, 後藤哲也, 諏訪智之, 須川成利, 大見忠弘

    電子情報通信学会技術研究報告 114 (255(SDM2014 84-95)) 55-59 2014年10月9日

    ISSN: 0913-5685

  85. 招待講演 極限性能を追求する高速,高感度CMOSイメージセンサ技術 (集積回路)

    黒田 理人, 須川 成利

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 114 (120) 37-44 2014年7月3日

    出版者・発行元: 電子情報通信学会

    ISSN: 0913-5685

  86. 招待講演 極限性能を追求する高速,高感度CMOSイメージセンサ技術 (情報センシング)

    黒田 理人, 須川 成利

    映像情報メディア学会技術報告 = ITE technical report 38 (26) 37-44 2014年7月

    出版者・発行元: 映像情報メディア学会

    ISSN: 1342-6893

  87. 1光子レベルの精度にせまる高S/Nイメージセンサの研究開発動向(高機能イメージセンシングとその応用)

    黒田 理人

    映像情報メディア学会技術報告 38 (0) 39-46 2014年

    出版者・発行元: 一般社団法人 映像情報メディア学会

    DOI: 10.11485/itetr.38.20.0_39  

    ISSN: 1342-6893

    詳細を見る 詳細を閉じる

    本稿では光子検出性能と1光子毎の精度を有する撮像素子技術について求められる性能を整理し,最近の高S/Nイメージセンサ技術の研究開発動向をまとめる.

  88. 20Mfpsの撮像速度を有する超高速CMOSイメージセンサの画素構造(固体撮像技術および一般)

    須川 成利, 田窪 健二, 近藤 泰志, 宮内 健, 竹田 徹, 半澤 克彦, 栃木 靖久, 酒井 伸, 黒田 理人, 冨永 秀樹, 広瀬 竜太

    映像情報メディア学会技術報告 38 (0) 19-22 2014年

    出版者・発行元: 一般社団法人 映像情報メディア学会

    DOI: 10.11485/itetr.38.15.0_19  

    ISSN: 1342-6893

    詳細を見る 詳細を閉じる

    高速CMOSイメージセンサにおいて,20Mfpsの最高撮像速度性能を達成するための画素構造と,画素内の電荷転送性能等の測定結果について論じる.

  89. ランダムテレグラフノイズ時定数の動作条件依存性の統計的解析(固体撮像技術および一般)

    黒田 理人, 米澤 彰浩, 小原 俊樹, 寺本 章伸, 須川 成利

    映像情報メディア学会技術報告 38 (0) 15-18 2014年

    出版者・発行元: 一般社団法人 映像情報メディア学会

    DOI: 10.11485/itetr.38.15.0_15  

    ISSN: 1342-6893

    詳細を見る 詳細を閉じる

    測定精度が改善した大規模アレイテスト回路を用いた,ソースフォロワ(SF)トランジスタのランダムテレグラフノイズ(RTN)における時定数の動作バイアス,動作シーケンス依存性の統計的解析について報告する.オン・オフ状態を周期的に繰り返す動作時では,常時オン動作時と比べRTN起因のSF出力の二乗平均平方根が統計的に低減することを示し,その理由が捕獲・放出時定数の動作バイアス依存性の違いに起因することを示した.

  90. 最高撮像速度10Mfpsの高速度ビデオカメラによるMOSキャパシタの絶縁膜破壊現象の解析(高機能イメージセンシングとその応用)

    邵 繁, 木本 大幾, 古川 貴一, 須郷 秀武, 竹田 徹, 宮内 健, 栃木 靖久, 黒田 理人, 須川 成利

    映像情報メディア学会技術報告 38 (0) 13-16 2014年

    出版者・発行元: 一般社団法人 映像情報メディア学会

    DOI: 10.11485/itetr.38.20.0_13  

    ISSN: 1342-6893

    詳細を見る 詳細を閉じる

    MOSキャパシタのTime dependent dielectric breakdown (TDDB)を,最高撮像速度10Mfpsの高速カメラを用いて初めて可視化した.TDDBには,電圧印加から現象発生までの間に時間的バラツキがあり瞬間的に発生するという特徴がある.撮像にあたりトリガ回路の作成を行い撮像システムを構築した.撮像結果,絶縁膜破壊時にMOSキャパシタの面内様々な場所で断続的な発光が起こることを高い頻度で確認した.撮像速度1Mfpsにおいて,約10μsecオーダで発光に時間間隔が生じていることを確認した.撮像速度10Mfpsにおいて,一回の発光時間は1μsec以下であることを確認した.

  91. 高速・高紫外光照射耐性を有する吸光・発光用リニアアレイセンサ(高機能イメージセンシングとその応用)

    阿久津 貴弘, 川田 峻, 幸田 安真, 中澤 泰希, 黒田 理人, 須川 成利

    映像情報メディア学会技術報告 38 (0) 17-20 2014年

    出版者・発行元: 一般社団法人 映像情報メディア学会

    DOI: 10.11485/itetr.38.20.0_17  

    ISSN: 1342-6893

    詳細を見る 詳細を閉じる

    高速読み出し動作と紫外光照射に対する高い感度安定性を持つ新規のフォトダイオード(PD)アレイセンサについて報告する.吸光分析向けの高飽和タイプ,発光分析向けの高感度タイプの2種類を設計,試作,評価した.両タイプともPDの長辺側に複数の読み出し口を設けることで従来型と比べ150倍以上の読み出し速度の向上を達成した.また,平坦なSi界面に急峻なドーパントプロファイルを有する薄いp^+層を形成することで,紫外光に対する光感度の安定性が向上した.

  92. 映像情報メディア年報2013シリーズ(第12回)情報センシングの研究開発動向

    須川成利, 高柳 功, 高橋秀和, 黒田理人, 池辺将之, 浜本隆之, 小室 孝, 香川景一郎, 大竹 浩, 赤井大輔, 鈴木秀征

    映像情報メディア学会誌 67 (11) 972-982 2013年11月1日

    出版者・発行元: 一般社団法人 映像情報メディア学会

    DOI: 10.3169/itej.67.972  

    ISSN: 1342-6907

  93. 原子レベル平坦化Si表面のキャリアモビリティ特性に基づくマルチゲートMOSFETの構造設計 (シリコン材料・デバイス)

    黒田 理人, 中尾 幸久, 寺本 章伸, 須川 成利, 大見 忠弘

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 113 (247) 15-20 2013年10月17日

    出版者・発行元: 一般社団法人電子情報通信学会

    ISSN: 0913-5685

    詳細を見る 詳細を閉じる

    本稿では原子レベルで平坦化されたsi(100),(110),(551)表面の電子・ホールモビリティ特性についてまとめ,また,速度性能と最少加工寸法におけるロジックゲートのノイズマージンとを性能指標としたマルチゲートMOSFETのフィン構造の評価手法へ反映した結果を報告する.フィン高さとフィン上面幅との比が1.2から7.5の領域では,フィン側壁が(551)面,フィン上面が(100)面のフィン構造において性能指標が高くなることが示された.

  94. 内部量子効率100%のPD技術とオンチップ高透過積層膜を組み合わせた紫外光高感度・高信頼性Siフォトダイオード (シリコン材料・デバイス)

    幸田 安真, 黒田 理人, 中尾 幸久, 須川 成利

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 113 (247) 21-25 2013年10月17日

    出版者・発行元: 一般社団法人電子情報通信学会

    ISSN: 0913-5685

    詳細を見る 詳細を閉じる

    紫外光帯域を含む広光波長帯域の光に対し高感度で,かつ経時的な感度劣化を生じないセンサ実現のために,高い内部量効率を得るフォトダイオード形成技術と,SiO_2と消衰係数の低いSiNから成るオンチップ高透過積層膜とを組み合わせたフォトダイオードの作製・評価を行った.本報告では,試作したフォトダイオードで紫外光に対し高い感度と高い耐性を得た結果を示し,また積層膜の構成や膜厚を制御することで,所望の波長帯域で高感度化できることを示す.

  95. MOSFETのサブスレショルド領域におけるRandom Telegraph Noiseの時定数解析 (シリコン材料・デバイス)

    米澤 彰浩, 寺本 章伸, 小原 俊樹, 黒田 理人, 須川 成利, 大見 忠弘

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 113 (247) 51-56 2013年10月17日

    出版者・発行元: 一般社団法人電子情報通信学会

    ISSN: 0913-5685

    詳細を見る 詳細を閉じる

    MOSFETのサブスレッショルド領域におけるRandom Telegraph Noise(RTN)の捕獲・放出時定数を多数のサンプルに対しゲート電圧依存性を抽出し、キャリアの捕獲・放出過程を考察した。捕獲過程のゲート電圧依存性は、キャリアとトラップの距離はSiO_2/Si界面とトラップの最短距離ではなく、キャリアの存在するパーコレーションパスとトラップの距離とトラップのエネルギ準位から決定される。放出過程はゲート電圧により、キャリアがSi基板側に抜けるもの、ゲート電極側に抜けるもの、電圧により放出先を変えると考えられるものが観測された。時定数を広範囲かつ正確に抽出し捕獲・放出時定数を個別に議論することがRTNの解析では重要となる。

  96. 内部量子効率100%のPD技術とオンチップ高透過積層膜を組み合わせた紫外光高感度・高信頼性Siフォトダイオード

    幸田安真, 黒田理人, 中尾幸久, 須川成利

    電子情報通信学会技術研究報告 113 (247(SDM2013 88-98)) 21-25 2013年10月10日

    ISSN: 0913-5685

  97. MOSFETのサブスレショルド領域におけるRandom Telegraph Noiseの時定数解析

    米澤彰浩, 寺本章伸, 小原俊樹, 黒田理人, 須川成利, 大見忠弘

    電子情報通信学会技術研究報告 113 (247(SDM2013 88-98)) 51-56 2013年10月10日

    ISSN: 0913-5685

  98. 情報センシングの研究開発動向

    須川 成利, 赤井 大輔, 鈴木 秀征, 闍柳 功, 高橋 秀和, 黒田 理人, 池辺 将之, 浜本 隆之, 小室 孝, 香川 景一郎, 大竹 浩

    映像情報メディア学会誌 67 (11) 972-982 2013年

    出版者・発行元: 一般社団法人映像情報メディア学会

    DOI: 10.3169/itej.67.972  

    ISSN: 1342-6907

  99. 画素ソースフォロワ相当の埋め込み・表面チャネルトランジスタのランダム・テレグラフ・ノイズ統計的解析(固体撮像技術および一般~IEDM, SPIE EI, ISSCC特集~)

    黒田 理人, 米澤 彰浩, 寺本 章伸, 李 宗霖, 栃木 靖久, 須川 成利

    映像情報メディア学会技術報告 37 (0) 19-22 2013年

    出版者・発行元: 一般社団法人 映像情報メディア学会

    DOI: 10.11485/itetr.37.19.0_19  

    ISSN: 1342-6893

    詳細を見る 詳細を閉じる

    大規模アレイテスト回路を用いた画素ソースフォロワ(SF)相当の埋め込み・表面チャネルトランジスタの静特性とランダム・テレグラフ・ノイズ(RTN)の統計的解析結果を報告する.様々なドレイン電流,基板バイアス電圧を変化させた測定データに基づき,RTNの統計的な分布,ノイズ振幅としきい値やサブスレッショルドスイングとの相関について解析結果をまとめ,埋め込みチャネルを用いた画素SFのノイズ低減方針を議論する.

  100. オンチップ高透過積層膜を有する紫外光高感度・高信頼性Siフォトダイオード(高機能イメージセンシングとその応用)

    幸田 安真, 黒田 理人, 中澤 泰希, 中尾 幸久, 須川 成利

    映像情報メディア学会技術報告 37 (0) 37-40 2013年

    出版者・発行元: 一般社団法人 映像情報メディア学会

    DOI: 10.11485/itetr.37.22.0_37  

    ISSN: 1342-6893

    詳細を見る 詳細を閉じる

    紫外光帯域を含む広光波長帯域の光に対し高感度で,かつ経時的な感度劣化を生じないセンサ実現のために,高い内部量効率を得るフォトダイオード形成技術と,SiO_2と消衰係数の低いSiNから成るオンチップ高透過積層膜とを組み合わせたフォトダイオードの作製・評価を行った.本報告では,試作したフォトダイオードで紫外光に対し高い感度と高い耐性を得た結果を示し,また積層膜の構成や膜厚を制御することで,所望の波長帯域で高感度化できることを示す.

  101. 浮遊容量負荷読み出しを用いたCMOSイメージセンサ(高機能イメージセンシングとその応用)

    若嶋 駿一, 合田 康之, 李 宗霖, 黒田 理人, 須川 成利

    映像情報メディア学会技術報告 37 (0) 33-36 2013年

    出版者・発行元: 一般社団法人 映像情報メディア学会

    DOI: 10.11485/itetr.37.22.0_33  

    ISSN: 1342-6893

    詳細を見る 詳細を閉じる

    浮遊容量負荷読み出し方法は,列電流源と信号保持のための容量素子を用いずに,画素出力信号線の寄生容量を信号読み出し時の負荷容量・信号保持容量として用いる新規な画素信号読み出し方法である.本読み出し方法を用いた動作原理確認用のCMOSイメージセンサチップを試作・評価し,本読出し方法が小型化・低消費電力化・高S/N化に有用であることを確認したので,その結果について報告する.

  102. White-RGBイメージセンサを用いた仮想カラーフィルタ情報の追加による被写体のスペクトル推定精度の改善(インタラクティブシステム・画像入力デバイス・方式,バイオメトリクス,及び一般)

    川田 峻, 黒田 理人, 須川 成利

    映像情報メディア学会技術報告 37 (0) 17-20 2013年

    出版者・発行元: 一般社団法人 映像情報メディア学会

    DOI: 10.11485/itetr.37.27.0_17  

    ISSN: 1342-6893

    詳細を見る 詳細を閉じる

    White-RGBカラーフィルタを有する単板イメージセンサの色再現性の向上を目的として,被写体の反射スペクトル推定に基づく色再現手法を提案する.推定元情報として,オンチップカラーフィルタ(実カラーフィルタ)から得られるWhite-RGBの4つの感度スペクトル情報に加えて,イメージセンサに搭載されていない仮想カラーフィルタ情報を新たに導入した.色再現性の評価はマクベスカラーチェッカーの24色を基準として行った.実カラーフィルタのRGB3チャネルのみを用いた場合の再現色差ΔEabの平均値は7.62であった.そこに4チャネルの仮想カラーフィルタを加えた,実効7チャネルを用いた推定によりΔEabの平均値を1.88に低減した.

  103. 200-1000nmの広光波長帯域に感度を有する高紫外光照射耐性CMOSイメージセンサ(イメージセンサ,カメラ信号処理,画像評価関連技術,及び2013IISWとVLSIシンポジウムからの発表報告)

    黒田 理人, 川田 峻, 那須野 悟史, 中澤 泰希, 幸田 安真, 半澤 克彦, 須川 成利

    映像情報メディア学会技術報告 37 (0) 21-24 2013年

    出版者・発行元: 一般社団法人 映像情報メディア学会

    DOI: 10.11485/itetr.37.40.0_21  

    ISSN: 1342-6893

    詳細を見る 詳細を閉じる

    広光波長帯域における高い感度と紫外光照射に対して高い安定性・長期信頼性を有する画素ピッチ5.6μmのCMOSイメージセンサについて報告する.画素内の埋め込み完全空乏型フォトダイオードには,平坦化されたSi表面の近傍に急峻な濃度プロファイルを有するp^+層を形成し,紫外光に対する高感度化と紫外光照射耐性の向上を両立している.試作したCMOSイメージセンサにおいて,200-1000nmの広光波長帯域における分光感度と強い紫外光照射に対して感度劣化が無いことを確認した.

  104. 学部時代のこと,現在のシリコン半導体集積回路の研究

    黒田 理人

    青葉工業会報 (56) 56-58 2012年12月

    出版者・発行元: 青葉工業会(東北大学工学部同窓会)

  105. PECVD法を用いたゲートスペーサー用高品質シリコン窒化膜の低温形成プロセス

    中尾 幸久, 寺本 章伸, 黒田 理人, 諏訪 智之, 田中 宏明, 須川 成利, 大見 忠弘

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 112 (263) 21-26 2012年10月18日

    出版者・発行元: 一般社団法人電子情報通信学会

    ISSN: 0913-5685

    詳細を見る 詳細を閉じる

    近年Metal oxide semiconductor field effect transistors(MOSFETs)の高性能化のために、化学的・熱的に不安定な新規材料が研究・導入されている。これらの新規材料を用いてMOSFETを製造していくうえでドライ・ウェットプロセスから新規材料を保護するために必要不可欠である低温成膜可能な絶縁材料としてPlasma enhanced chemical vapor deposition(PECVD)法を用いたシリコン窒化膜を検討した。従来のPECVD法では段差形状側壁部のシリコン窒化膜の膜質が悪いという問題があった。側壁部のシリコン窒化膜の膜質を向上するために、本研究では10^<11>cm^<-3>以上の高密度プラズマが生成可能なマイクロ波励起プラズマを用いた。そして、プロセスパラメータを最適化しSiプリカーサに対してNプリカーサを多量に供給することにより、段差形状側壁部にも高品質なシリコン窒化膜を形成可能とした。また、MISキャパシタを作製しSiH_4流量を変えたシリコン窒化膜の電気特性を評価した。SiH_4流量を下げることにより、シリコン窒化膜/Si界面・バルクのトラップ密度を減らすことができ、形状・電気特性の観点から、開発したシリコン窒化膜がゲートスペーサーに適用可能であることを示した。

  106. シリコンLSI:微細化に替る高性能化の道

    大見 忠弘, 中尾 幸久, 黒田 理人, 諏訪 智之, 田中 宏明, 須川 成利

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 112 (263) 27-32 2012年10月18日

    出版者・発行元: 一般社団法人電子情報通信学会

    ISSN: 0913-5685

    詳細を見る 詳細を閉じる

    完全に行き詰まったシリコンLSI技術を進歩させる方向の提案。すべての面方位のシリコン表面上にLSI製造できる技術を創出し、(551)面Accumulation Mode CMOSでnMOSとpMOSの寸法が等しいバランスドCMOSを具現化して、フルCMOSシステムLSIを実現する。

  107. 高速CMOSイメージセンサによる毎秒1000万コマ以上の撮像

    須川成利, 栃木靖久, 宮内健, 武田徹, 黒田理人

    映像情報メディア学会年次大会講演予稿集(CD-ROM) 2012 ROMBUNNO.19-8 2012年8月8日

    ISSN: 1880-6961

  108. 原子オーダー平坦ゲート絶縁膜/シリコン界面を有する金属―絶縁膜―半導体デバイスの高性能化

    黒田 理人

    翠巒 (26) 6-6 2012年3月

    出版者・発行元: 財団法人青葉工学振興会

  109. 19-8 高速CMOSイメージセンサによる毎秒1000万コマ以上の撮像(第19部門[テーマ講演]科学技術のフロンティアを切り拓くイメージセンサ技術)

    須川 成利, 栃木 靖久, 宮内 健, 武田 徹, 黒田 理人

    映像情報メディア学会年次大会講演予稿集 2012 (0) 19-8-1-_19-8-2_ 2012年

    出版者・発行元: 一般社団法人 映像情報メディア学会

    DOI: 10.11485/iteac.2012.0_19-8-1  

    ISSN: 1343-1846

    詳細を見る 詳細を閉じる

    The images captured at a rate of more than 10M fps using a high-speed CMOS image sensor are introduced.

  110. バースト1Tpixel/sと連続780Mpixel/sの撮像速度を有するグローバルシャッタ高速CMOSイメージセンサ(固体撮像技術および一般)

    栃木 靖久, 須川 成利, 半澤 克彦, 加藤 祐理, 黒田 理人, 武藤 秀樹, 広瀬 竜太, 冨永 秀樹, 田窪 健二, 近藤 泰志

    映像情報メディア学会技術報告 36 (0) 9-12 2012年

    出版者・発行元: 一般社団法人 映像情報メディア学会

    DOI: 10.11485/itetr.36.18.0_9  

    ISSN: 1342-6893

    詳細を見る 詳細を閉じる

    画素ごとにオンチップ記憶メモリを128個配置した有効画素数400^H×250^Vのグローバルシャッタ高速CMOSイメージセンサを設計・試作した.本CMOSイメージセンサは非冷却による1Tpixel/sのバースト撮像(コマ撮り)および780Mpixel/sの連続撮像を1チップで達成した.

  111. 紫外光高感度・高信頼性を有する原子オーダー平坦Si表面を用いたフォトダイオードのドーパントプロファイル(高機能イメージセンシングとその応用)

    中澤 泰希, 黒田 理人, 幸田 安真, 須川 成利

    映像情報メディア学会技術報告 36 (0) 19-22 2012年

    出版者・発行元: 一般社団法人 映像情報メディア学会

    DOI: 10.11485/itetr.36.20.0_19  

    ISSN: 1342-6893

    詳細を見る 詳細を閉じる

    紫外光に対して高感度かつ経時的な感度劣化を生じないセンサ実現のために,原子オーダー平坦化Si表面を用いたフォトダイオードの表面高濃度層プロファイルを作り変えることで表面光電荷ドリフト層と紫外光感度・信頼性の関係を評価した.紫外光照射による紫外光感度の変化は紫外光照射による絶縁膜中の固定電荷や界面付近のトラップの生成によって起こることを突き止め,紫外光高感度化・高信頼化のためのフォトダイオードのドーパントプロファイルを提案した.

  112. ラジカル反応ベース絶縁膜形成技術における界面平坦化効果と絶縁膜破壊特性との関係

    黒田 理人, 寺本 章伸, 李 翔, 諏訪 智之, 須川 成利, 大見 忠弘

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 111 (249) 21-26 2011年10月13日

    出版者・発行元: 一般社団法人電子情報通信学会

    ISSN: 0913-5685

    詳細を見る 詳細を閉じる

    ラジカル反応ベース絶縁膜形成プロセスは,熱酸化プロセスと比較して,極薄ゲート絶縁膜のリーク電流低減が可能,1/fノイズ低減が可能といった利点があることが分かっていたが,初期不良率の高さが課題であり解決が望まれていた.本報告では,原子オーダー平坦化Si表面とラジカル酸化プロセスとの組合せによる初期不良率の大幅な改善結果を示すと共に,改善したメカニズムをラジカル酸化による界面平坦化効果によって説明する.

  113. 埋め込み構造によるMOSFETにおけるランダム・テレグラフ・ノイズの低減

    鈴木 裕彌, 黒田 理人, 寺本 章伸, 米澤 彰浩, 松岡 弘章, 中澤 泰希, 阿部 健一, 須川 成利, 大見 忠弘

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 111 (249) 5-9 2011年10月13日

    出版者・発行元: 一般社団法人電子情報通信学会

    ISSN: 0913-5685

    詳細を見る 詳細を閉じる

    ブロードチャネル構造によって,劇的なランダム・テレグラフ・ノイズ(RTN)の低減効果を得た.形成されるチャネルの位置をトラップから遠ざけ,チャネルを幅広く形成させることにより,トラップによるクーロン閉塞効果とチャネルパーコレーションを抑制することが,低ノイズ回路の実現につながるRTNの低減に非常に有効であることを見出した.

  114. 異常 Stress Induced Leakage Current の発生・回復特性の統計的評価

    稲塚 卓也, 熊谷 勇喜, 黒田 理人, 寺本 章伸, 須川 成利, 大見 忠弘

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 111 (249) 11-16 2011年10月13日

    出版者・発行元: 一般社団法人電子情報通信学会

    ISSN: 0913-5685

    詳細を見る 詳細を閉じる

    絶縁膜に電気的なストレスを印加することによって発生する異常Stress Induced Leakage Current (SILC)を統計的に評価した。異常SILCは通常SILCに対して極めて電流密度が大きく、フラッシュメモリに対して重大な問題となる。これまで異常SILCはストレス時間や温度によって異常SILCが離散的に発生・消滅するという報告がされている。この論文では異常SILCの離散的変化を時間領域で評価することによって異常SILCは通常SILCとは大きく異なった回復特性を有することを示し、また温度やストレスに対する依存性の評価も行うことによって異常SILCの回復のしやすさを明らかにした。

  115. レーザー共焦点微分干渉顕微鏡による超平坦Si(100)表面の原子ステップ観察

    安田興平, 文鋭, 金潤根, 小林慎一郎, 吹留博一, 諏訪智之, 黒田理人, 李翔, 寺本章伸, 大見忠弘, 板谷謹悟

    化学系学協会東北大会プログラムおよび講演予稿集 2011 206 2011年9月17日

  116. デュアルシリサイドを用いた低直列抵抗CMOSソース/ドレイン電極形成技術

    黒田 理人, 中尾 幸久, 須川 成利, 田中 宏明, 寺本 章伸, 宮本 直人, 大見 忠弘

    電気学会研究会資料. EDD, 電子デバイス研究会 2011 (35) 5-10 2011年3月1日

  117. 原子レベル平坦化Si表面を用いた紫外光高感度・高信頼性フォトダイオード(2011 International Image Sensor Workshop(IISW)関連およびイメージセンサ一般)

    黒田 理人, 中澤 泰希, 幸田 安真, 半澤 克彦, 須川 成利

    映像情報メディア学会技術報告 35 (0) 25-31 2011年

    出版者・発行元: 一般社団法人 映像情報メディア学会

    DOI: 10.11485/itetr.35.47.0_25  

    ISSN: 1342-6893

    詳細を見る 詳細を閉じる

    紫外光に対する高い感度と,紫外光照射に対して高い安定性・長期信頼性を有する,原子レベル平坦化Si表面を用いたフォトダイオードを試作・評価した.本稿では,(100)面のSi表面の原子レベル平坦化技術,及び表面の原子レベル平坦性を維持可能とする集積化プロセス技術をまとめ,紫外光に対する高感度化と紫外光照射耐性向上の鍵となる,均一かつ極薄な表面光電荷ドリフト層の形成方法とその効果について論じる.

  118. バースト10MfPsと連続10Kfpsの撮像速度を有する高速CMOSイメージセンサのプロトタイプ試作評価(固体撮像技術および一般)

    須川 成利, 栃木 靖久, 半澤 克彦, 加藤 祐理, 赤羽 奈々, 黒田 理人

    映像情報メディア学会技術報告 35 (0) 27-30 2011年

    出版者・発行元: 一般社団法人 映像情報メディア学会

    DOI: 10.11485/itetr.35.17.0_27  

    ISSN: 1342-6893

    詳細を見る 詳細を閉じる

    主に先端技術開発分野や科学計測分野において使用される画素数10万画素程度を有し最大10Mfps超の100コマ以上のバースト撮像(コマ撮り)と10Kfps超の連続撮像を冷却無しにワンチップで行える高速度撮像CMOSイメージセンサの実現を目指し,原理フィジビリティ確認用のプロトタイプイメージセンサ(画素ピッチ48μm,画素数72^H×32^V)を設計・試作しその性能を実験・評価した結果について論じる.

  119. WRGB LOFIC CMOSイメージセンサを用いた青緑及び黄色領域を含む全色域の色再現性の向上(高機能イメージセンシングとその応用)

    川田 峻, 合田 康之, 黒田 理人, 須川 成利

    映像情報メディア学会技術報告 35 (0) 33-35 2011年

    出版者・発行元: 一般社団法人 映像情報メディア学会

    DOI: 10.11485/itetr.35.19.0_33  

    ISSN: 1342-6893

    詳細を見る 詳細を閉じる

    WRGB LOFIC CMOSイメージセンサは、RGBカラーフィルタを用いたイメージセンサと比較して約2倍の高感度化と同時に102-dBの広いダイナミックレンジを達成した。このイメージセンサから得られるRGB情報とWとRGBの差分からエメラルドグリーンと黄色を含む情報を抽出し、これらを利用したリニアマトリクス補正を行って再現性の高いRGB情報を出力する色再現手法を検討した。

  120. 10Mfps高速CMOSイメージセンサの高S/N読み出し動作(高機能イメージセンシングとその応用)

    栃木 靖久, 半澤 克彦, 加藤 祐理, 赤羽 奈々, 黒田 理人, 須川 成利

    映像情報メディア学会技術報告 35 (0) 37-40 2011年

    出版者・発行元: 一般社団法人 映像情報メディア学会

    DOI: 10.11485/itetr.35.19.0_37  

    ISSN: 1342-6893

    詳細を見る 詳細を閉じる

    最大10Mfpsでバースト撮像(コマ撮り)および10Kfpsの連続撮像を1チップで実現する高速度CMOSイメージセンサ実現を目指し,各画素に104個の記憶メモリを配置したプロトタイプ高速CMOSイメージセンサ(画素数72^H×32^V)の設計・試作を行った.本イメージセンサにおける,バースト撮像および連続撮像に導入した信号読み出し動作方法,およびトリガ動作について論じる.

  121. ULSI用低抵抗コンタクトのための低バリアハイトメタルシリサイドの形成

    田中 宏明, 黒田 理人, 中尾 幸久, 寺本 章伸, 須川 成利, 大見 忠弘

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 110 (241) 25-30 2010年10月14日

    出版者・発行元: 一般社団法人電子情報通信学会

    ISSN: 0913-5685

    詳細を見る 詳細を閉じる

    ULSIの基本構成要素であるトランジスタの性能を劣化させる直列抵抗を低減するため、ソース・ドレイン領域におけるシリサイド/高濃度領域間のコンタクト抵抗低減を行った。p^+、n^+領域それぞれにPd、Erを用いシリサイドを形成し、0.3eV程度の低バリアハイトのコンタクトを実現した。Si基板面方位により、シリサイドの成長段階が異なる事を明らかにした。このシリサイドを用い、プロセスを理論的に最適化する事によって、10^<-9>Ωcm^2以下の低抵抗率コンタクト形成を実現した。

  122. n^+-、p^+-Si領域に最適なシリサイドを用いた高電流駆動能力トランジスタ

    中尾 幸久, 黒田 理人, 田中 宏明, 寺本 章伸, 須川 成利, 大見 忠弘

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 109 (257) 1-6 2009年10月22日

    出版者・発行元: 一般社団法人電子情報通信学会

    ISSN: 0913-5685

    詳細を見る 詳細を閉じる

    MOSFETにおけるソース・ドレイン電極の直列抵抗を低減するために、ソース・ドレイン領域に、低コンタクト抵抗を有するシリサイド/シリコンコンタクトを実現した。シリサイド形成のための金属材料としては電子、ホールに対するバリアハイトの低い仕事関数を有するEr、Pdをn^+、p^+-Siに対して選定した。シリサイド形成は大気にさらさず、メタル成膜前洗浄からアニール工程までN_2雰囲気で行った。さらに、低仕事関数金属であるErの酸化防止のため、キャップ金属にWを用いた。これらのシリサイドをMOSFETに適用し、直列抵抗低減により電流駆動能力向上を実現した。さらに、実現したシリサイドは、100nm以下の微細CMOSにおいても直列抵抗低減に有効であることを見出した。

  123. n+‐,p+‐Si領域に最適なシリサイドを用いた高電流駆動能力トランジスタ

    中尾幸久, 黒田理人, 田中宏明, 寺本章伸, 須川成利, 大見忠弘

    電子情報通信学会技術研究報告 109 (257(SDM2009 117-134)) 1-6 2009年10月22日

    ISSN: 0913-5685

  124. 色毎の飽和光量差を低減したWRGB市松画素LOFIC CMOSイメージセンサ(高機能イメージセンシングとその応用)

    川田 峻, 酒井 伸, 赤羽 奈々, 黒田 理人, 須川 成利

    映像情報メディア学会技術報告 33 (0) 21-24 2009年

    出版者・発行元: 一般社団法人 映像情報メディア学会

    DOI: 10.11485/itetr.33.56.0_21  

    ISSN: 1342-6893

    詳細を見る 詳細を閉じる

    イメージセンサの高感度化のために,W(白)画素を導入して感度を高めたWRGBイメージセンサが報告されている.しかし,高感度なW画素により飽和性能が制限され,ダイナミックレンジが狭められていた.そこで,感度と飽和電荷量を独立に設計可能な横型オーバーフロー蓄積容量(LOFIC)技術を導入して,全色で高い感度を維持しながら色ごとに飽和電荷量を独立設計し,全色でほぼ等しい飽和光量を持たせてダイナミックレンジを狭めることなくW画素を導入したWRGB CMOSイメージセンサを開発し,従来RGBイメージセンサに比べての約2倍の実効感度と102-dBのダイナミックレンジを達成した.

  125. 原子オーダ平坦化ウェハ表面のAFM評価手法及びデータ解析手法

    譽田 正宏, 寺本 章伸, 諏訪 智之, 黒田 理人, 大見 忠弘

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 108 (236) 75-78 2008年10月2日

    出版者・発行元: 一般社団法人電子情報通信学会

    ISSN: 0913-5685

    詳細を見る 詳細を閉じる

    Atomically flat (100) silicon surface constructed with atomic terraces and steps is realized by argon annealing at 1200℃ on (100) crystal orientation large diameter wafers with precisely controlled tilt angle. An atomic terraces and steps of (100) silicon surface can be measured as an image data by the atomic force microscopy (AFM). In order to discuss the flatness and the uniformity of the atomically flat silicon surface, it is important to evaluate the roughness of each terrace. In this paper, the data analysis technique of the atomic terraces and steps of (100) silicon surface will be proposed.

  126. 大規模アレイTEGを用いた画素ソースフォロア相当のトランジスタのランダム・テレグラフ・シグナル・ノイズの統計的解析

    須川成利, 阿部健一, 藤澤孝文, 渡部俊一, 黒田理人, 宮本直人, 寺本章伸, 大見忠弘

    映像情報メディア学会技術報告 32 (19(IST2008 8-18/CE2008 21-31)) 9-12 2008年3月19日

    出版者・発行元: 映像情報メディア学会

    ISSN: 1342-6893

  127. High performance accumulation mode FD-SOI MOSFETs on Si(110) and (110) surfaces (シリコン材料・デバイス)

    Cheng W., Teramoto A., Kuroda R., TYE C., WATABE S., SUWA T., GOTO T., IMAIZUMI F., SUGAWA S., OHMI T.

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 107 (245) 45-48 2007年9月27日

    出版者・発行元: 一般社団法人電子情報通信学会

    ISSN: 0913-5685

    詳細を見る 詳細を閉じる

    We have been successful in realizing a very high performance novel balanced CMOS on Si(110) and high performance MOSFETs on Si(100) using accumulation-mode devices. We dramatically improve the oscillation performance using the novel accumulation mode FD-SOI balanced CMOS on Si(110) surface. This technology is very useful for realizing advanced high performance analog/digital mixed circuits.

  128. シリコン表面の原子オーダー平坦化技術

    諏訪 智之, 黒田 理人, 寺本 章伸, 大見 忠弘

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 107 (245) 57-59 2007年9月27日

    出版者・発行元: 一般社団法人電子情報通信学会

    ISSN: 0913-5685

    詳細を見る 詳細を閉じる

    本研究において、1200℃のArガス雰囲気中で(100)面のシリコンウェハを熱処理することにより、様々な原子オーダのステップ・テラスを有するシリコン表面が実現でき、そのステップ形状やテラス幅は、ウェハのオフ角・オフ方向に大きく関係していることを明らかにした。さらに、ウェハのオフ角の方向が、比較的<110>方向に近いウェハおいて、8インチウェハの全面にわたり均一な原子オーダ平坦表面が実現でき、その表面形状は、<110>方向と直行する方向に直線的なステップ形状のみが現われる構造となることを見出した。

  129. 大規模アレイTEGを用いたランダム・テレグラフ・シグナルの統計的評価

    阿部 健一, 須川 成利, 黒田 理人, 渡部 俊一, 寺本 章伸, 大見 忠弘

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 107 (245) 65-68 2007年9月27日

    出版者・発行元: 一般社団法人電子情報通信学会

    ISSN: 0913-5685

    詳細を見る 詳細を閉じる

    非常に多数のMOSFETについて,それらの電気的特性及びランダム・テレグラフ・シグナル(RTS)特性を短時間で測定可能なTEGを開発し,これを用いたRTSの統計的な評価手法を提案する.この評価手法により,これまで発見が難しかったRTSの挙動を示すMOSFETを容易に探索することが可能となり,RTSノイズ評価に必要な時間を大幅に削減できる.この評価手法を用いた解析からRTSの出現頻度とノイズ強度はゲートサイズの縮小に伴って増大することがわかった.また,RTS出現頻度とノイズ強度は,ドレイン電流,バックゲートバイアス電圧に大きく依存して変化することがわかった.

  130. High Performance and Highly Reliable Novel CMOS Devices Using Accumulation Mode Fully Depleted SOI MOSFETs

    Cheng W., Teramoto A., Kuroda R., GAUBERT P., TYE C., HIRAYAMA M., SUGAWA S., OHMI T.

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 106 (277) 57-61 2006年9月28日

    出版者・発行元: 一般社団法人電子情報通信学会

    ISSN: 0913-5685

    詳細を見る 詳細を閉じる

    We demonstrate that the electron and hole mobilities are improved on both Si (100) and (110) surfaces using accumulation mode (AM) MOSFETs because of the bulk current and lower effective field in the same overdrive bias. Flicker noise characteristics are improved dramatically at AM MOSFETs compared with that at conventional inversion mode (IM) devices. Finally, we demonstrate the negative bias temperature instability (NBTI) characteristics in AM devices are improved about one decade compared with that in IM devices.

  131. Hole 注入法によるNBTI評価手法及び寿命予測方法の開発

    寺本 章伸, 渡辺 一史, 黒田 理人, 三富士 道彦, 山葉 隆久, 須川 成利, 大見 忠弘

    電子情報通信学会技術研究報告. R, [信頼性] 105 (434) 13-18 2005年11月25日

    出版者・発行元: 一般社団法人電子情報通信学会

    ISSN: 0913-5685

    詳細を見る 詳細を閉じる

    集積回路の信頼性問題において、pMOSFETにNegative Bias Temperature (NBT)ストレスを印加した場合に顕著に現れる劣化、つまりNegative Bias Temperature Instability (NBTI)について研究を行った。NBTI評価方法として高温・高電圧を用いる加速方法が一般的である。しかし、この手法で用いられる高温・高電圧はデバイスの実使用で用いられる温度・電圧より非常に大きいために過度な劣化を引き起こしている可能性がある。特に高電圧印加による加速は劣化メカニズムまでも変えてしまう可能性がある。そこで本研究では、ホール注入法を用いて劣化加速する方法を開発した。この方法は従来方法のような高電圧を使用しないので劣化を過大評価することはなく、本方法によりNBTストレスによる劣化メカニズムが明らかになった。提案する劣化メカニズムは理論的にも検証し、開発したホール注入法によって正確なNBTI寿命予測を実使用環境と全く同じ条件で行た。

  132. Hole 注入法によるNBTI評価手法及び寿命予測方法の開発

    寺本 章伸, 渡辺 一史, 黒田 理人, 三富士 道彦, 山葉 隆久, 須川 成利, 大見 忠弘

    電子情報通信学会技術研究報告. ED, 電子デバイス 105 (435) 13-18 2005年11月18日

    出版者・発行元: 一般社団法人電子情報通信学会

    ISSN: 0913-5685

    詳細を見る 詳細を閉じる

    集積回路の信頼性問題において、pMOSFETにNegative Bias Temperature (NBT)ストレスを印加した場合に顕著に現れる劣化、つまりNegative Bias Temperature Instability (NBTI)について研究を行った。NBTI評価方法として高温・高電圧を用いる加速方法が一般的である。しかし、この手法で用いられる高温・高電圧はデバイスの実使用で用いられる温度・電圧より非常に大きいために過度な劣化を引き起こしている可能性がある。特に高電圧印加による加速は劣化メカニズムまでも変えてしまう可能性がある。そこで本研究では、ホール注入法を用いて劣化加速する方法を開発した。この方法は従来方法のような高電圧を使用しないので劣化を過大評価することはなく、本方法によりNBTストレスによる劣化メカニズムが明らかになった。提案する劣化メカニズムは理論的にも検証し、開発したホール注入法によって正確なNBTI寿命予測を実使用環境と全く同じ条件で行た。

  133. Hole 注入法によるNBTI評価手法及び寿命予測方法の開発

    寺本 章伸, 渡辺 一史, 黒田 理人, 三富士 道彦, 山葉 隆久, 須川 成利, 大見 忠弘

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 105 (436) 13-18 2005年11月18日

    出版者・発行元: 一般社団法人電子情報通信学会

    ISSN: 0913-5685

    詳細を見る 詳細を閉じる

    集積回路の信頼性問題において、pMOSFETにNegative Bias Temperature (NBT)ストレスを印加した場合に顕著に現れる劣化、つまりNegative Bias Temperature Instability (NBTI)について研究を行った。NBTI評価方法として高温・高電圧を用いる加速方法が一般的である。しかし、この手法で用いられる高温・高電圧はデバイスの実使用で用いられる温度・電圧より非常に大きいために過度な劣化を引き起こしている可能性がある。特に高電圧印加による加速は劣化メカニズムまでも変えてしまう可能性がある。そこで本研究では、ホール注入法を用いて劣化加速する方法を開発した。この方法は従来方法のような高電圧を使用しないので劣化を過大評価することはなく、本方法によりNBTストレスによる劣化メカニズムが明らかになった。提案する劣化メカニズムは理論的にも検証し、開発したホール注入法によって正確なNBTI寿命予測を実使用環境と全く同じ条件で行た。

  134. LC共振法による極薄ゲート絶縁膜の電気的膜厚測定法

    黒田 理人, 寺本 章伸, 小村 政則, 渡辺 一史, 須川 成利, 大見 忠弘

    電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 105 (318) 21-26 2005年10月7日

    出版者・発行元: 一般社団法人電子情報通信学会

    ISSN: 0913-5685

    詳細を見る 詳細を閉じる

    LC共振を用いた極薄ゲート絶縁膜の電気的膜厚測定法を報告する。測定対象であるMOSデバイスと並列に既知の値を持つインダクタLと抵抗Rを別途付加し、MOS回路と付加LR回路とのLC共振を発現させる。決められたゲートバイアス電圧印加時においてMOS回路とLR回路全体のインピーダンスの位相と絶対値の周波数特性を測定する。MOS回路中で未知の素子であるゲート容量、それと並列な抵抗、さらに容量に直列な抵抗を、測定で得られた共振点において測定値と等しくなるようにフィッティングを行い、ゲート容量を抽出する。こうして得られた容量値から、ゲート絶縁膜の電気的膜厚を求める。等価的Q値を導入することによってフィッティングに最適な外部回路のインダクタLの値を決定することができる。導入した3素子モデルによるMOS回路パラメータのフィッティングによって、高周波CV測定では高電界側が測定できないリーク電流の多いデバイスについても電気的膜厚が測定できる。

  135. LC共振法による極薄ゲート絶縁膜の電気的膜厚測定法

    黒田理人, 寺本章伸, 小村政則, 渡辺一史, 須川成利, 大見忠弘

    電子情報通信学会技術研究報告 105 (318(SDM2005 180-191)) 21-26 2005年9月30日

    ISSN: 0913-5685

︎全件表示 ︎最初の5件までを表示

書籍等出版物 3

  1. 薄膜作製応用ハンドブック

    権田, 俊一, 酒井, 忠司, 田畑, 仁, 八瀬, 清志, 宮崎, 照宣

    エヌ・ティー・エス 2020年2月

    ISBN: 9784860436315

  2. Rihito Kuroda and Shigetoshi Sugawa

    Kinko Tsuji, Werner Lauterborn, Thomas Kurz, Guillaume Lajoinie, Nico de Jong, Michel Versluis, Takeharu G. Etoh, Quang A. Nguyen, Rihito Kuroda, Shigetoshi Sugawa, Harald Kleine, Kazuyoshi Takayama, François Hild, Amine Bouterf, Pascal Forquin, Stéphane Roux, Christian Freitag, Thomas Arnold, Meiko Boley, Sebastian Faas, Florian Fetzer, Christian Hagenlocher, Andreas Heider, Michael Jarwitz, Rudolf Weber, Thomas Graf, Alexander Rack, Margie Olbinado, Mario Scheel, Benjamin Jodar, John Morse, Marcus Aldén, Mattias Richter, Nobuyuki Kawahara, Alexander Stolz, Malte von Ramin, Daniel Schmitt, Hartmut Hieronymus, Kenneth R, Langley, Er Q. Li, Sigurdur T. Thoroddsen, Stefan C. Müller, Valeria Garbin

    Springer International Publishing 2017年9月

    ISBN: 9783319614915

  3. 黒田 理人

    野辺 継男, 黒田 理人, 蚊野 浩, 木股 雅章, 田村 哲雄, 小川 新平, 大橋 洋二, 青柳 靖, 桑原 義彦, 亀井 利久, 政田 元太, 木津 巧一, 平尾 朋三, 篠塚 哲, 馬路 徹, 佐藤 智和, 緒方 健人, 橋本 雅文, 西田 健, 石沢 千佳子, 佐藤 淳, 柴田 啓司, 加藤 ジェーン, 内村 圭一, 山口 順一, 山口 弘純, 渡邊 直幸, 片山 硬, 伊東 敏夫, 花泉 弘, 川西 康友, 秋田 時彦, 山下 隆義, ポンサトーン, ラクシンチャラーンサク, 山田 啓一, 金澤 靖, 高取 祐介, 小山 善文, 小野口 一則, 原 孝介, 木下 航一, 森島 繁生, 佐藤 優伍, 宇野 新太郎, 佐藤 健哉, 藤本 暢宏, 大柴 小枝子, 倉地 亮, 齊藤 智明, 味岡 恒夫, 駒田 隆彦, 中山 幸二

    (株)技術情報協会 2017年5月31日

    ISBN: 9784861046582

講演・口頭発表等 91

  1. A Global Shutter Wide Dynamic Range Soft X-ray CMOS Image Sensor with BSI Pinned Photodiode, Two-stage LOFIC and Voltage Domain Memory Bank 招待有り

    黒田 理人

    次世代画像ビジョンシステム部会定例会(第191回・オンライン) 2021年4月28日

  2. A High SNR Wide Spectral Response CMOS Image Sensor Technology for Smart Sensing 招待有り

    Rihito Kuroda

    4th International Symposium on Devices, Circuits and Systems 2021年3月3日

  3. IEDM2020を振り返って 招待有り

    黒田理人

    応用物理学会シリコンテクノロジー・電子情報通信学会シリコン材料・デバイス研究会, ULSIデバイス・プロセス技術(IEDM2020特集) 2021年1月28日

  4. 超高速イメージセンサ、紫外域イメージセンサ技術 招待有り

    黒田 理人

    電子情報技術産業協会第4回「新機能イメージングデバイスおよび周辺技術分科会」 2020年10月23日

  5. 半導体デバイスにおける欠陥評価 ~イメージセンサ・欠陥・プロセス~ 招待有り

    黒田理人

    第34回プラズマ新領域研究会「プラズマプロセスにおける欠陥生成に関する新生面」研究会 2020年10月21日

  6. イメージング・デバイスの技術動向 招待有り

    黒田 理人

    独立行政法人日本学術振興会 半導体界面制御技術 第154委員会 第115回研究会 2020年1月21日

  7. Advanced CMOS image sensor technologies for sensing applications in the era of IoT 招待有り

    Rihito Kuroda, Shigetoshi Sugawa

    The Sixth Symposium on Novel Optoelectronic Detection Technology and Application (NDTA2019) 2019年12月4日

  8. 広光波長帯域・広ダイナミックレンジCMOSイメージセンサ 招待有り

    黒田 理人

    光とレーザーの科学技術フェア2019 究極を目指すイメージセンシングセミナー 2019年11月13日

  9. 先進CMOS イメージセンサ開発へ向けたRTS ノイズの計測・解析技術 招待有り

    黒田 理人

    電子情報通信学会シリコン材料・デバイス研究会/シリコンテクノロジー 2019年11月8日

  10. A 24.3Me- Full Well Capacity CMOS Image Sensor with Lateral Overflow Integration Trench Capacitor for High Precision Near Infrared Absorption Imaging 招待有り

    黒田理人, 村田真麻, 藤原康行, 大塚雄介, 柴田 寛, 柴口 拓, 鎌田 浩, 三浦規之, 栗山尚也, 須川成利

    電気学会「ナノエレクトロニクス 基盤ヘテロ集積化・応⽤技術調査専門委員会」 2019年3月1日

  11. IEDM2018 を振り返って 招待有り

    黒田 理人

    応用物理学会シリコンテクノロジー・電子情報通 信学会シリコン材料・デバイス研究会, ULSI デバイス・プロセス技術(IEDM2018 特集) 2019年1月29日

  12. RTS noise characterization and suppression for advanced CMOS image sensors 国際会議 招待有り

    Rihito Kuroda, Shinya Ichino, Takezo Mawaki, Tomoyuki Suwa, Akinobu Teramoto, Shigetoshi Sugawa

    4th International Workshop on Image Sensors and Imaging Systems 2018年11月28日

  13. 紫外-可視-近赤外光帯域・高感度イメージセンサと分光イメージングへの 応用展開 招待有り

    黒田 理人

    光とレーザーの科学技術フェア2018 イメージセンサーオープンセミナー 2018年11月14日

  14. Over 100Mfps high speed global shutter CMOS image sensor 国際会議 招待有り

    Rihito Kuroda, Manabu Suzuki, Shigetoshi Sugawa

    32nd International Congress on High-Speed Imaging and Photonics 2018年10月9日

  15. High Speed Global Shutter CMOS Image Sensors Toward Over 100Mfps 国際会議 招待有り

    Rihito Kuroda, Manabu Suzuki, Shigetoshi Sugawa

    Ultrafast imaging and particle tracking instrumentation and methods 2018 2018年9月14日

  16. 【IEDM 報告会】 Optoelectronics, Display, and Imagers

    黒田 理人

    IEEE EDS Japan Chapter 総会および IEDM 報告会 2018年2月2日

  17. 高速化・高感度化技術の今後 招待有り

    黒田 理人

    次世代画像入力ビジョンシステム部会・映像情報メディア学会共催公開講演会『イメージセンサ30年の進歩と更なる発展』 2018年1月11日

  18. 撮像速度1000万コマ/秒を超える高速度CMOSイメージセンサ技術の進展

    高速度イメージングとフォトニクスに関する総合シンポジウム2017 2017年11月15日

  19. 画素SFで発生するランダムテレグラフノイズの統計的解析 ~ トランジスタ形状・時定数・遷移数の影響 ~

    映像情報メディア学会技術報告・情報センシング研究会 2017年9月25日

  20. Impact of Random Telegraph Noise with Various Time Constants and Number of States in CMOS Image Sensors 国際会議

    International Image Sensor Workshop 2017年5月30日

  21. 撮像速度1000万コマ/秒を超える高速度CMOSイメージセンサの高感度化・多記録枚数化

    次世代画像入力ビジョンシステム部会第171回定例会 2017年3月27日

  22. 急峻pn接合Siダイオード技術を用いた高感度・高速性能低加速電圧電子線検出器

    映像情報メディア学会技術報告・情報センシング研究会 2017年3月10日

  23. 急峻pn接合Siダイオード技術を用いた高感度・高速性能低加速電圧電子線検出器

    黒田理人, 幸田安真, 原昌也, 角田博之, 須川成利

    映像情報メディア学会技術報告 2017年3月3日

  24. 【IEDM 報告会】 Optoelectronics, Display, and Imagers

    IEEE EDS Japan Chapter 総会および IEDM 報告会 2017年2月15日

  25. 広光波長帯域イメージセンサ技術と分光イメージングへの展開

    次世代画像入力ビジョンシステム部会第170回定例会「次世代イメージセンサと新技術の展開」 2017年1月23日

  26. [パネル討論会] 「次世代イメージセンサと新技術の展開」

    佐藤宏, 大池裕輔(ソ, 大竹浩(NHK技術研究所, 徐珉雄, 静

    次世代画像入力ビジョンシステム部会第170回定例会「次世代イメージセンサと新技術の展開」 2017年1月23日

  27. 画素毎の接続を有する3次元積層を用いた先進グローバルシャッタCMOSイメージセンサ技術

    SEMICON Japan2016 TechSTAGE [STS 先端デバイス・プロセスセッション(2)] 2016年12月16日

  28. A Dead-time free global shutter stacked CMOS image sensor with in-pixel LOFIC and ADC using pixel-wise connections 国際会議

    3rd International Workshop on Image Sensors and Imaging Systems 2016年11月17日

  29. A High Sensitivity 20Mfps CMOS Image Sensor with Readout speed of 1Tpixel/sec for Visualization of Ultra-high Speed Phenomena 国際会議

    The 31st International Congress on High-speed Imaging and Photonics 2016年11月9日

  30. Panel Discussion “Expansion and Fusion of the High-speed Imaging World" -From Attosecond Pump&Probe Imaging to 10-fps AFM Imaging of Stepping Myosin-" 国際会議

    Takaki Hatsui (SACLA, Baoli Yao, ute, of, Shngo Kagami, Tohoku University, Urich Trunk (DESY, T. Goji Etoh, Osaka Uni

    The 31st International Congress on High-speed Imaging and Photonics 2016年11月9日

  31. 画素毎の接続を用いた画素内に横型オーバーフロー蓄積容量およびAD変換器を有する露光時間途切れのないグローバルシャッタ積層型CMOSイメージセンサ

    映像情報メディア学会 情報センシング研究会 2016年9月26日

  32. A Dead-time Free Global Shutter CMOS Image Sensor with in-pixel LOFIC and ADC using Pixel-wise Connections"

    IEEE SSCS Kansai Chapterで技術セミナー Symposium on VLSI Circuits 2016報告会とDL講演会 2016年6月24日

  33. Random Telegraph Noise Measurement and Analysis based on Arrayed Test Circuit toward High S/N CMOS Image Sensors 国際会議

    29th IEEE International Conference on Microelectronic Test Structures 2016年3月29日

  34. Wide dynamic range LOFIC CMOS image sensors: principle, achievements and extendibility 国際会議

    International Forum on Detectors for Photon Science 2016年2月28日

  35. CMOSイメージセンサの高速化・高感度化・広光波長帯域化技術

    第191回研究集会 シリコンテクノロジー分科会ナノ・接合技術研究会「接合技術の新展開」 2016年2月27日

  36. Advanced CMOS Image Sensor Development 国際会議

    Tohoku Univ. - imec Seminar 2015 Sendai Symposium on Analytical Science 2015 Joint Seminar on "Unobtrusive Sensing & Daily Health Screening" 2015年11月13日

  37. A 80% QE High Readout Speed 1024 Pixel Linear Photodiode Array for UV-VIS-NIR Spectroscopy 国際会議

    2015 International Image Sensor Workshop 2015年6月8日

  38. ゲート絶縁膜/Si界面の原子オーダー平坦化によるランダムテレグラフノイズ低減効果

    映像情報メディア学会 情報センシング研究会 2015年5月8日

  39. UV/VIS/NIR imaging technologies: challenges and opportunities 国際会議

    2015 SPIE Sensing Technology + Applications 2015年4月20日

  40. Wide spectral response and highly robust Si image sensor technology 国際会議

    2nd Asian Image Sensor and Imaging System Symposium 2014年12月1日

  41. 高機能CMOSイメージセンサ技術

    プラナリゼーションCMPとその応用技術専門委員会 第136回研究会【イメージセンサー/3次元集積回路の最前線と加工技術 2014年10月10日

  42. 最高1000万コマ/秒の超高速動画撮像を用いた酸化膜破壊現象の動的観測と解析

    2014 International Reliability Physcis Symposium報告会 2014年10月3日

  43. 極限性能を追求する高速,高感度CMOSイメージセンサ技術

    須川 成利

    映像情報メディア学会 情報センシング研究会 2014年7月4日

  44. 極限イメージングの現在とその将来動向

    須川成利, 新井康夫, 香川景一郎, 土屋敏章

    映像情報メディア学会 情報センシング研究会 2014年7月3日

  45. 招待講演 極限性能を追求する高速,高感度CMOSイメージセンサ技術 (集積回路)

    黒田 理人, 須川 成利

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 2014年7月3日

  46. 招待講演 極限性能を追求する高速,高感度CMOSイメージセンサ技術 (情報センシング)

    黒田 理人, 須川 成利

    映像情報メディア学会技術報告 = ITE technical report 2014年7月

  47. A Novel Analysis of Oxide Breakdown Based on Dynamic Observation using Ultra-High Speed Video Capturing Up to 10,000,000 Frames Per Second 国際会議

    2014 IEEE International Reliability Physics Symposium 2014年6月3日

  48. 1光子レベルの精度にせまる高S/Nイメージセンサの研究開発動向

    映像情報メディア学会 情報センシング研究会 2014年6月2日

  49. 1光子レベルの精度にせまる高S/Nイメージセンサの研究開発動向

    黒田理人

    映像情報メディア学会技術報告 2014年5月26日

  50. ランダムテレグラフノイズ時定数の動作条件依存性の統計的解析

    映像情報メディア学会 情報センシング研究会 2014年3月14日

  51. 1光子レベルの精度にせまる高S/Nイメージセンサの研究開発動向(高機能イメージセンシングとその応用)

    黒田 理人

    映像情報メディア学会技術報告 2014年

    詳細を見る 詳細を閉じる

    本稿では光子検出性能と1光子毎の精度を有する撮像素子技術について求められる性能を整理し,最近の高S/Nイメージセンサ技術の研究開発動向をまとめる.

  52. Si表面の原子レベル平坦化技術を用いた紫外光高感度・高信頼性イメージセンサ

    日本学術振興会 産学協力研究委員会 半導体界面制御技術第154委員会第89回研究会 2013年11月21日

  53. Advanced CMOS Image Sensor Research and Development for Scientific and Consumer-use Imaging 国際会議

    Tohoku University – IMEC Seminar 2013年11月8日

  54. 原子レベル平坦化Si表面のキャリアモビリティ特性に基づくマルチゲートMOSFETの構造設計

    シリコン材料・デバイス(電子情報通信学会) 2013年10月17日

  55. 原子レベル平坦化Si表面のキャリアモビリティ特性に基づくマルチゲートMOSFETの構造設計 (シリコン材料・デバイス)

    黒田 理人, 中尾 幸久, 寺本 章伸, 須川 成利, 大見 忠弘

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 2013年10月17日

    詳細を見る 詳細を閉じる

    本稿では原子レベルで平坦化されたsi(100),(110),(551)表面の電子・ホールモビリティ特性についてまとめ,また,速度性能と最少加工寸法におけるロジックゲートのノイズマージンとを性能指標としたマルチゲートMOSFETのフィン構造の評価手法へ反映した結果を報告する.フィン高さとフィン上面幅との比が1.2から7.5の領域では,フィン側壁が(551)面,フィン上面が(100)面のフィン構造において性能指標が高くなることが示された.

  56. 原子レベル平坦化Si表面のキャリアモビリティ特性に基づくマルチゲートMOSFETの構造設計

    黒田理人, 中尾幸久, 寺本章伸, 須川成利, 大見忠弘

    電子情報通信学会技術研究報告 2013年10月10日

  57. 200-1000nmの広光波長帯域に感度を有する高紫外光照射耐性CMOSイメージセンサ

    映像情報メディア学会 情報センシング研究会 2013年9月30日

  58. A CMOS Image Sensor Using Column-Parallel Forward Noise-Canceling Circuitry 国際会議

    2013 International Conference on Solid State Devices and Materials 2013年9月25日

  59. Carrier Mobility on (100), (110), and (551) Oriented Atomically Flattened Si Surfaces for Multi-gate MOSFETs Device Design 国際会議

    2013 International Conference on Solid State Devices and Materials 2013年9月25日

  60. Ultra-high Speed Image Sensors for Scientific Imaging 国際会議

    2013 International Conference on Solid State Devices and Materials 2013年9月25日

  61. A FSI CMOS Image Sensor with 200-1000 nm Spectral Response and High Robustness to Ultraviolet Light Exposure 国際会議

    2013 International Image Sensor Workshop 2013年6月12日

  62. 画素ソースフォロワ相当の埋め込み・表面チャネルトランジスタのランダム・テレグラフ・ノイズ統計的解析

    映像情報メディア学会 情報センシング研究会 2013年3月22日

  63. A statistical evaluation of low-frequency noise of in-pixel source follower-equivalent transistors with various channel types and body bias 国際会議

    IS&T/SPIE Electronic Imaging 2013年2月3日

  64. Si Surface Atomic Order Flattening Technology and its Application to Highly Reliable Ultraviolet Light Sensor

    第24回マイクロエレクトロニクス研究会 2012年11月10日

  65. A Novel Chemically, Thermally and Electrically Robust Cu Interconnect Structure with an Organic Non-porous Ultralow-k Dielectric Fluorocarbon (k=2.2) 国際会議

    2012 Symposium on VLSI Technology 2012年6月12日

  66. 原子レベル平坦化Si表面を用いた紫外光高感度・高信頼性フォトダイオード

    映像情報メディア学会 情報センシング研究会 2011年11月18日

  67. 原子レベル平坦化Si表面を用いた紫外光高感度・高信頼性フォトダイオード

    黒田理人, 中澤泰希, 幸田安真, 半澤克彦, 須川成利

    映像情報メディア学会技術報告 2011年11月11日

  68. Development of Direct-polish Process of CMP and Post-CMP Clean for Next Generation Advanced Cu Interconnects 国際会議

    International Conference on Planarization&CMP 2011年11月9日

  69. ラジカル反応ベース絶縁膜形成技術における界面平坦化効果と絶縁膜破壊特性との関係

    シリコン材料・デバイス(電子情報通信学会) 2011年10月20日

  70. ラジカル反応ベース絶縁膜形成技術における界面平坦化効果と絶縁膜破壊特性との関係

    黒田理人, 寺本章伸, LI Xiang, 諏訪智之, 須川成利, 大見忠弘

    電子情報通信学会技術研究報告 2011年10月13日

    詳細を見る 詳細を閉じる

    ラジカル反応ベース絶縁膜形成プロセスは,熱酸化プロセスと比較して,極薄ゲート絶縁膜のリーク電流低減が可能,1/fノイズ低減が可能といった利点があることが分かっていたが,初期不良率の高さが課題であり解決が望まれていた.本報告では,原子オーダー平坦化Si表面とラジカル酸化プロセスとの組合せによる初期不良率の大幅な改善結果を示すと共に,改善したメカニズムをラジカル酸化による界面平坦化効果によって説明する.

  71. On the Si Surface Flattening Effect and Gate Insulator Breakdown Characteristic of Radical Reaction Based Insulator Formation Technology 国際会議

    2011 International Conference on SOLID STATE DEVICES AND MATERIALS 2011年9月28日

  72. Highly Ultraviolet Light Sensitive and Highly Reliable Photodiode with Atomically Flat Si Surface 国際会議

    2011 International Image Sensor Workshop 2011年6月8日

  73. デュアルシリサイドを用いた低直列抵抗CMOS ソース/ドレイン電極形成技術

    黒田理人, 中尾幸久, 須川成利, 田中宏明, 寺本章伸, 宮本直人, 大見忠弘

    電気学会電子デバイス研究会「グリーンITにおける化合物半導体電子デバイス」調査専門委員会 2011年3月1日

  74. Ultra-low Series Resistance W/ErSi2/n+-Si and W/Pd2Si/p+-Si S/D Electrodes for Advanced CMOS Platform 国際会議

    2010 IEEE International electron device meeting 2010年12月6日

  75. Impact of Channel Direction Dependent Low Field Hole Mobility on Si(100) 国際会議

    2010 International Conference on SOLID STATE DEVICES AND MATERIALS 2010年9月22日

  76. Impact of Very Low Series Resistance due to Raised Metal S/D Structure with Very Low Contact Resistance Silicide for sub-100-nm nMOSFET 国際会議

    2009 International Conference on SOLID STATE DEVICES AND MATERIALS 2009年10月

  77. Atomically Flat Gate Insulator/Silicon (100) Interface Formation Introducing High Mobility, Ultra-low Noise, and Small Characteristics Variation CMOSFET 国際会議

    38th European Solid-State Device Research Conference 2008年9月

  78. CMOSFET Featuring Atomically Flat Gate Insulator Film/Silicon Interface on (100) Orientation Surface 国際会議

    2008 International Conference on SOLID STATE DEVICES AND MATERIALS 2008年9月

  79. 3-step room temperature wet cleaning process for silicon substrate 国際会議

    9th International Symposium on Ultra Clean Processing of Semiconductor Surfaces 2008年9月

  80. Characterization of MOSFETs Intrinsic Performance using In-Wafer Advanced Kelvin-Contact Device Structure for High Performance CMOS LSIs 国際会議

    IEEE International Conference on Microelectronic Test Structures 2008年3月

  81. ノーマリオフAccumulation-Mode SOI nMOSFETにおけるHot Carrier Instabilityのメカニズム

    ゲートスタック研究会-材料・プロセス・評価の物理-第12回研究会 2008年1月

  82. Technologies for High Performance CMISFETs

    第19回マイクロエレクトロ二クス研究会 2007年11月

  83. Modeling and Implementation of Subthreshold Characteristics of Accumulation-Mode MOSFETs for Various SOI Layer Thickness and Impurity Concentrations 国際会議

    2007 IEEE International SOI Conference 2007年10月

  84. Performance Comparison of Ultra-thin FD-SOI Inversion-, Intrinsic-and Accumulation- Mode MOSFETs 国際会議

    2007 International Conference on SOLID STATE DEVICES AND MATERIALS 2007年9月

  85. Hot Carrier Instability Mechanism in Accumulation-Mode Normally-off SOI nMOSFETs and Their Reliability Advantage 国際会議

    211th Electrochemical Society Meeting 2007年5月

  86. Accurate Circuit Performance Prediction Model and Lifetime Prediction Method for NBT Stressed Devices for Highly Reliable ULSI Circuits 国際会議

    IEEE International Conference on IC Design & Technology 2006年5月

  87. Accurate Circuit Performance Prediction Model and Lifetime Prediction Method for NBT Stressed Devices for Highly Reliable ULSI Circuits 国際会議

    IEEE International Electron Device Meeting 2005年12月

  88. New NBTI Lifetime Prediction Method for Ultra Thin SiO2 Films 国際会議

    208th Electrochemical Society Meeting 2005年10月

  89. NEW LIFETIME PREDICTION METHOD FOR PMOSFETS WITH ULTRA THIN GATE FILMS 国際会議

    The 3rd Student-organizing International Mini-Conference on Information Electronics 2005年10月

  90. LC共振法による極薄ゲート絶縁膜の電気的膜厚測定法

    電子情報通信学会シリコン材料・デバイス研究会 2005年10月

  91. IEDM2019を振り返って 招待有り

    黒田理人

    応用物理学会シリコンテクノロジー・電子情報通信学会シリコン材料・デバイス研究会, ULSIデバイス・プロセス技術(IEDM2019特集) 2020年1月28日

︎全件表示 ︎最初の5件までを表示

産業財産権 43

  1. 光センサ装置

    塚越功二, 須川成利, 黒田理人

    特許6886307

    産業財産権の種類: 特許権

    権利者: エイブリック株式会社、株式会社 東北テクノアーチ

  2. 時分割分光イメージング分析システム及び時分割分光イメージング分析方法

    須川成利, 藤掛英夫, 石鍋隆宏, 黒田理人, 若生一広

    特許6860772

    産業財産権の種類: 特許権

    権利者: 国立大学法人東北大学、独立行政法人国立高等専門学校機構

  3. 固体光検出器

    須川成利, 黒田理人, 柄澤朋宏, 廣瀬竜太, 古宮 哲夫, 森谷 直司

    特許6809717

    産業財産権の種類: 特許権

    権利者: WO2018/138851

  4. 受光デバイスおよび受光デバイスの信号読み出し方法

    須川成利, 黒田理人

    特許6671715

    産業財産権の種類: 特許権

    権利者: 国立大学法人東北大学

  5. 光センサ及びその信号読み出し方法並びに固体撮像装置及びその信号読み出し方法

    須川 成利, 黒田 理人, 若嶋 駿一

    産業財産権の種類: 特許権

  6. 濃度測定方法

    須川 成利, 黒田 理人

    産業財産権の種類: 特許権

  7. 電界効果トランジスタおよびその駆動方法

    寺本 章伸, 諏訪 智之, 黒田 理人, 古川 貴一

    産業財産権の種類: 特許権

  8. 光学的ガス濃度測定方法及び該方法によるガス濃度モニター方法

    永瀬 正明, 西野 功二, 池田 信一, 山路 道雄, 須川 成利, 黒田 理人

    産業財産権の種類: 特許権

  9. 光センサ及びその信号読み出し方法並びに固体撮像装置及びその信号読み出し方法

    須川 成利, 黒田 理人, 若嶋 駿一

    産業財産権の種類: 特許権

  10. 半導体素子の形成方法

    後藤 哲也, 寺本 章伸, 黒田 理人, 諏訪 智之

    産業財産権の種類: 特許権

  11. 濃度測定方法

    須川 成利, 黒田 理人

    産業財産権の種類: 特許権

  12. 光学的濃度測定方法

    須川 成利, 黒田 理人

    産業財産権の種類: 特許権

  13. 紫外光用固体受光デバイス

    須川 成利, 黒田 理人

    産業財産権の種類: 特許権

  14. 紫外光用固体受光デバイス

    須川 成利, 黒田 理人

    産業財産権の種類: 特許権

  15. フォトダイオード及びその製造方法、フォトダイオードアレイ、分光光度計、並びに固体撮像装置

    須川 成利, 黒田 理人

    産業財産権の種類: 特許権

  16. 信号処理方法

    須川 成利, 黒田 理人

    特許第5958980号

    産業財産権の種類: 特許権

  17. リニアイメージセンサ及びその駆動方法

    冨永 秀樹, 廣瀬 竜太, 田窪 健二, 須川 成利, 黒田 理人

    産業財産権の種類: 特許権

  18. 半導体基板および半導体装置

    大見 忠弘, 寺本 章伸, 諏訪 智之, 黒田 理人, 工藤 秀雄, 速水 善範

    産業財産権の種類: 特許権

  19. 裏面照射型固体撮像素子

    田窪 健二, 近藤 泰志, 冨永 秀樹, 須川 成利, 黒田 理人

    産業財産権の種類: 特許権

  20. 固体撮像素子

    近藤 泰志, 田窪 健二, 冨永 秀樹, 須川 成利, 黒田 理人

    産業財産権の種類: 特許権

  21. 半導体装置

    大見 忠弘, 寺本 章伸, 黒田 理人

    産業財産権の種類: 特許権

  22. 半導体装置

    大見 忠弘, 寺本 章伸, 黒田 理人

    特許第5590362号

    産業財産権の種類: 特許権

  23. 分光計測用フォトダイオードアレイ及び分光計測装置

    冨永 秀樹, 廣瀬 竜太, 田窪 健二, 須川 成利, 黒田 理人

    産業財産権の種類: 特許権

  24. 配線構造体、配線構造体を備えた半導体装置及びその半導体装置の製造方法

    須川 成利, 寺本 章伸, 黒田 理人, 谷 ▲クン▼

    産業財産権の種類: 特許権

  25. 半導体装置及びその製造方法

    黒田 理人, 寺本 章伸, 須川 成利

    産業財産権の種類: 特許権

  26. 半導体装置の製造方法

    大見 忠弘, 寺本 章伸, 黒田 理人

    産業財産権の種類: 特許権

  27. 半導体装置の製造方法

    大見 忠弘, 寺本 章伸, 黒田 理人

    特許第5435315号

    産業財産権の種類: 特許権

  28. 半導体装置

    大見 忠弘, 寺本 章伸, 黒田 理人

    産業財産権の種類: 特許権

  29. フォトダイオード及びその製造方法、フォトダイオードアレイ、分光光度計、並びに固体撮像装置

    須川 成利, 黒田 理人

    産業財産権の種類: 特許権

  30. 半導体装置

    大見 忠弘, 寺本 章伸, 黒田 理人

    産業財産権の種類: 特許権

  31. 半導体装置

    大見 忠弘, 寺本 章伸, 黒田 理人

    特許第5316962号

    産業財産権の種類: 特許権

  32. 半導体装置

    大見 忠弘, 寺本 章伸, 黒田 理人

    産業財産権の種類: 特許権

  33. 半導体装置

    大見 忠弘, 寺本 章伸, 黒田 理人

    特許第5299752号

    産業財産権の種類: 特許権

  34. 半導体基板および半導体装置

    大見 忠弘, 寺本 章伸, 諏訪 智之, 黒田 理人, 工藤 秀雄, 速水 善範

    産業財産権の種類: 特許権

  35. 半導体装置

    大見 忠弘, 寺本 章伸, 黒田 理人

    産業財産権の種類: 特許権

  36. トランジスタ及び半導体装置

    大見 忠弘, 寺本 章伸, 黒田 理人

    産業財産権の種類: 特許権

  37. 光センサ及びその信号読み出し方法並びに固体撮像装置及びその信号読み出し方法

    須川 成利, 黒田 理人, 若嶋 駿一

    特許第6085733号

    産業財産権の種類: 特許権

  38. 濃度測定方法

    須川 成利, 黒田 理人

    特許第6249427号

    産業財産権の種類: 特許権

  39. 紫外光用固体受光デバイス

    須川 成利, 黒田 理人

    特許第6222640号

    産業財産権の種類: 特許権

  40. 分光計測用フォトダイオードアレイ及び分光計測装置

    冨永 秀樹, 廣瀬 竜太, 田窪 健二, 須川 成利, 黒田 理人

    特許第5892567号

    産業財産権の種類: 特許権

  41. フォトダイオード及びその製造方法、フォトダイオードアレイ、分光光度計、並びに固体撮像装置

    須川 成利, 黒田 理人

    特許第5692880号

    産業財産権の種類: 特許権

  42. 配線構造体、配線構造体を備えた半導体装置及びその半導体装置の製造方法

    須川 成利, 寺本 章伸, 黒田 理人, 谷 ▲クン▼

    特許第5930416号

    産業財産権の種類: 特許権

  43. トランジスタ及び半導体装置

    大見 忠弘, 寺本 章伸, 黒田 理人

    特許第5594753号

    産業財産権の種類: 特許権

︎全件表示 ︎最初の5件までを表示

共同研究・競争的資金等の研究課題 8

  1. 作物の生理障害の機構解明におけるブレークスルーテクノロジーの開発と検証

    金山 喜則, 高橋 英樹, 渡部 敏裕, 須川 成利, 栗原 大輔, 黒田 理人

    2021年4月5日 ~ 2026年3月31日

  2. 革新的高速分光による高感度リアルタイム分光イメージングの構築と非侵襲診断への展開

    石鍋 隆宏, 黒田 理人, 柴田 陽生, 若生 一広

    提供機関:Japan Society for the Promotion of Science

    制度名:Grants-in-Aid for Scientific Research

    研究種目:Grant-in-Aid for Scientific Research (B)

    研究機関:Tohoku University

    2019年4月1日 ~ 2022年3月31日

    詳細を見る 詳細を閉じる

    本研究では、小型リアルタイム分光イメージングの実現に向けて、液晶中に形成したナノサイズの高分子ネットワークの構造制御を基に液晶の屈折率を偏光無依存でかつ高速に制御する手法を確立し、液晶ファブリ・ペローエタロンを用いた高速波長可変分光フィルタを実現した。また、画素内に形成した容量密度キャパシタに光電荷を蓄積する横型オーバーフロー蓄積容量と画素信号を一時保持するアナログメモリを用いることで、近赤外域における高光感度とグローバルシャッタ機能を両立する技術を確立し、高感度・高速分光イメージングシステムの構築に成功した。

  3. 飽和電荷数1億個超・線形応答を有する革新的な微小光量差検出イメージセンサの創出

    黒田 理人

    提供機関:Japan Society for the Promotion of Science

    制度名:Grants-in-Aid for Scientific Research Grant-in-Aid for Young Scientists (A)

    研究種目:Grant-in-Aid for Young Scientists (A)

    研究機関:Tohoku University

    2017年4月1日 ~ 2020年3月31日

    詳細を見る 詳細を閉じる

    強い光の中から微小な光量変化を高感度・高速に計量する革新的なイメージセンサ技術を創出するために、50fF/μm2以上の高容量密度と低リーク電流を両立させた画素内光電荷蓄積キャパシタ形成技術と、蓄積光電荷の上澄みレベルを高感度かつ高速に検出する新規な光信号検出技術の研究に取り組んでいる。 平成30年度には、前年度に築いたシリコントレンチキャパシタの画素内集積化技術を導入した、画素サイズ16μm角、画素数128×128のプロトタイプCMOS撮像素子を設計・試作してその特性を計測した。本CMOS撮像素子では、近赤外光の高感度化のために極低酸素濃度Cz引き上げ法で製造した低不純物濃度シリコン基板を導入すると共に、画素内に容量値1.6pFの光電荷蓄積トレンチキャパシタを有している。試作したCMOS撮像素子では、前年度に試作したMetal-Oxide-Siliconキャパシタを用いて1pFの画素内容量を搭載した原理確認用のCMOS撮像素子と比べて、飽和電荷数を1.6倍に増加させると共に開口率を5倍向上することに成功した。その結果、190~1100nmの広光波長帯域における高い量子効率、2430万電子の高飽和電荷数、線形応答において71.3dBのSN比を得た。最高フレームレートは685枚/秒である。本結果によって、8回のフレーム平均回数によって本研究の目標に掲げている80dBのSN比を実質的に得ることが出来る。本CMOS撮像素子と波長1050nmのLED光源を用いた吸光イメージングにより、グルコース濃度の検量線を取得することに成功するとともに、5mg/dlの精度で生理食塩水中のグルコースが拡散する様子を30枚/秒の動画によって鮮明に捉えることに成功した。さらに、蓄積光電荷の上澄みレベルを検出するスキミング光信号検出技術に適した画素回路構成を有するイメージセンサチップを設計、試作した。

  4. 1光子検出の感度および線形・高飽和性能を有するCMOS撮像素子の創出

    須川 成利, 黒田 理人

    提供機関:Japan Society for the Promotion of Science

    制度名:Grants-in-Aid for Scientific Research Grant-in-Aid for Scientific Research (A)

    研究種目:Grant-in-Aid for Scientific Research (A)

    研究機関:Tohoku University

    2015年4月1日 ~ 2018年3月31日

    詳細を見る 詳細を閉じる

    1光子検出の感度と高飽和性能を有し、極低照度環境から明暗差が5ケタ以上の被写体を、1回の露光で線形応答により明瞭に撮像できるCMOS撮像素子技術の創出を目指し、過去に築いた横型オーバーフロー蓄積容量を有する広ダイナミックレンジCMOS撮像素子技術を発展させた超高感度信号読出し技術の研究に取り組んだ。光電荷を電圧に変換するフローティングディフュージョン容量の極小化と複数のゲインを有する列並列増幅器の導入により入力換算0.47電子の低ノイズ性能を得た。画素出力のマルチサンプリング、熱雑音の低減により、1光子が検出出来る入力換算ノイズ0.2電子と、5万個を超える飽和電子数が両立出来る見込みを得た。

  5. 原子レベル平坦界面トランジスタによる電気的特性ばらつき・ノイズの極小化

    黒田 理人

    提供機関:Japan Society for the Promotion of Science

    制度名:Grants-in-Aid for Scientific Research Grant-in-Aid for Young Scientists (B)

    研究種目:Grant-in-Aid for Young Scientists (B)

    研究機関:Tohoku University

    2014年4月1日 ~ 2016年3月31日

    詳細を見る 詳細を閉じる

    Si界面の原子レベル平坦化技術を、シャロートレンチアイソレーション工程を有する最小加工寸法0.22μmの集積回路製造工程に導入し、直径200mmのSiウェハ全面にて微細MOSトランジスタのゲート絶縁膜/Si界面を原子レベルで平坦化することに成功した。100万個を超えるMOSトランジスタ電気的特性の統計的な測定により、従来の平坦性を有する素子と比較したしきい値ばらつきの低減及び1桁程度のランダム・テレグラフ・ノイズ発生確率の低減を実証し、その効果を明らかにした。

  6. 原子レベル平坦界面トランジスタのゲート絶縁膜リーク電流の高精度統計的解析

    須川 成利, 黒田 理人

    提供機関:Japan Society for the Promotion of Science

    制度名:Grants-in-Aid for Scientific Research Grant-in-Aid for Scientific Research (B)

    研究種目:Grant-in-Aid for Scientific Research (B)

    研究機関:Tohoku University

    2012年4月1日 ~ 2015年3月31日

    詳細を見る 詳細を閉じる

    ゲート絶縁膜/Si界面の原子レベル平坦化技術を最小加工寸法0.22μmのCMOS集積回路製造工程に導入し、ゲート絶縁膜形成直前に温度850℃以下で原子レベル平坦化処理を行うことで、微細MOSFETの界面を原子レベル平坦化できることを見出した。導入技術を用いて大規模アレイテスト回路を試作し、確立した高精度・統計的計測技術を用いて膜厚7.7nmのゲート絶縁膜を有する8万個を超えるMOSFETのゲート電流を約80秒以内に10aAオーダーの精度で計測し、界面に約1nmのラフネスが存在する従来のMOSFETと比べて、ゲート電流が大きい素子の発生割合が一桁以上低減できたことを明らかにした。

  7. MOSトランジスタの低ノイズ化へ向けたデバイス構造最適化

    黒田 理人

    提供機関:Japan Society for the Promotion of Science

    制度名:Grants-in-Aid for Scientific Research Grant-in-Aid for Research Activity start-up

    研究種目:Grant-in-Aid for Research Activity start-up

    研究機関:Tohoku University

    2010年 ~ 2011年

    詳細を見る 詳細を閉じる

    100万個を超えるMOSトランジスタのノイズを統計的に評価可能な計測手法を用いて、構造パラメータを振ったトランジスタのノイズを評価した。その結果、埋め込み層厚さが60nmの埋め込みチャネル構造では、通常の表面チャネル構造と比べRandom Telegraph Noiseの発生頻度が約1/60に低減することを見出した。また本構造の導入によるノイズ低減メカニズムを明らかにし、高感度CMOSイメージセンサへの適用に向けた低ノイズMOSトランジスタ構造の最適化指針を創出した。

  8. 高信頼大規模集積回路製造へ向けたトランジスタモデリングに関する研究

    黒田 理人

    2007年 ~ 2009年

    詳細を見る 詳細を閉じる

    前年度までに開発した1万~1000万個の大規模トランジスタの特性評価が数十秒という短時間で測定可能なTEG (Test Element Group)を用いて、ソース及びドレイン端子と基板で構成されるpn接合の逆方向リーク電流についても統計的評価が出来るようになった。温度特性の評価の結果、DRAMのデータ保持特性等を左右する局所的に大きなリーク電流を誘起する原因はシリコンの禁制帯の中間に位置する欠陥であることが分かった。 前年度までに開発したゲート絶縁膜/シリコン界面の原子オーダー平坦化技術を用いてCMOSを製造し、LSIで実使用される面積規模でゲート絶縁膜の耐圧、寿命特性の評価を行った。10cm^2を超える大面積では、従来の界面平坦性を有するデバイスと比べ寿命が30倍以上長く、またばらつきが大きく抑制できることが分かり、界面を原子オーダーで平坦化したデバイスでは実用上の面積規模でも高信頼性が維持できることが明らかになった。また従来の界面の凹凸による局所的電界集中効果をシミュレーションで再現した結果、局所的電界集中は実験で得られた耐圧の差と近いことが分かり、耐圧、寿命の向上は界面平坦化による局所的電界集中の抑制によることが分かった。また、1200℃で行っていたシリコン表面の原子オーダー平坦化プロセスの温度を1000℃以下にすることが可能になった。 ソース・ドレイン電極の直列抵抗が高いと、電流駆動能力を劣化させると共に、直列抵抗のばらつきがトランジスタの特性ばらつきに与える影響が大きくなる。直列抵抗の低減は、電流駆動能力の向上と共に特性ばらつき低減にも重要である。今年度は、n^+とp^+-Siについてバリアハイトがそれぞれ約0.3eVと小さいErSi_x, Pd_2SiシリサイドをnMOSとpMOSに用いてCMOSを作製し、直列抵抗がnMOS, pMOS共に1000hm μm以下にできることを示した。

︎全件表示 ︎最初の5件までを表示

社会貢献活動 5

  1. 出張講義(秋田南高等学校)

    学科紹介

    2021年6月22日 ~ 2021年6月22日

  2. 出張講義(神奈川県立厚木高等学校)

    知の探究講座

    2019年10月25日 ~ 2019年10月25日

  3. Quicker Camera Chips Coming

    2016年12月16日 ~

    詳細を見る 詳細を閉じる

    If you want to capture a super-slo-mo film of the nanosecond dynamics of a bullet impact, or see a football replay in fanatical detail and rich color, researchers are working on an image sensor for you. Last week at the IEEE International Electron Devices Meeting in San Francisco, two groups reported CMOS image sensors that rely on new ways of integrating pixels and memory cells to improve speed and image quality. Both groups are working on improving global-shutter image sensors. CMOS image sensors usually use what’s called a rolling shutter. Rolling shutter cameras scan across a scene—that is, each frame of the image only shows part of the scene. This makes them speedier but it can cause distortion, especially when filming a fast-moving target like a car or a bullet. Global shutters are better for filming speeding objects because they can snap the entire scene at once. CMOS sensors aren’t naturally suited to this, because the pixels are usually read out row by row. CCD image sensors, on the other hand, have a global shutter by definition, because all the pixels are read out at once, says Rihito Kuroda, an engineer at Tohoku University in Sendai, Japan. But they’re not ide

  4. 出張講義(長野県屋代高等学校)

    2016年9月10日 ~

    詳細を見る 詳細を閉じる

    長野県屋代高等学校の平成28年度「屋代ミニ大学」において、高校2年生向けに「豊かで安全安心な社会を支える極限知能デバイス」と題した講義を行った

  5. 出張講義(秋田県立横手高等学校)

    2014年9月3日 ~

    詳細を見る 詳細を閉じる

    秋田県立横手高等学校において「豊かで安全安心な社会を支える極限知能デバイス」と題した出張講義を行った。

メディア報道 3

  1. Ultraviolet light sensor for wearable devices in the IoT era

    Tohoku University

    2017年4月17日

    メディア報道種別: その他

    詳細を見る 詳細を閉じる

    Mass production technology for silicon based ultraviolet (UV) light sensors, suitable for smartphones and wearable devices in the Internet of Things (IoT) era, has been jointly developed by a research team at Tohoku University and SII Semiconductor Corporation, a semiconductor manufacturer at Seiko Instruments Group. In recent years, there's been growing interest within the healthcare community in the prevention of sunburns and skin blemishes. As such, easy measurement of UV light through the use of a smartphone or a wearable device could be of great benefit to healthcare and aesthetic medicine. In fact, the need to measure invisible UV light is also increasing in industrial fields, where equipment such as UV curing machines and printers using UV curable ink are being used more frequently now than ever before. The new UV light sensor technology, developed by the research team led by Professor Shigetoshi Sugawa and Associate Professor Rihito Kuroda at Tohoku University's Graduate School of Engineering, uses only silicon semiconductors to selectively detect and measure the light intensity of UV-A (315~400nm) and UV-B (280~315nm) light wavebands. These are the wavebands to which sun

  2. ウェアラブル端末・IoT向け紫外線(UV)センサを開発~エスアイアイ・セミコンダクタ株式会社と共同で、シリコンを使ったUVセンサ用フォトダイオードの量産化技術を開発~

    東北大学

    2017年3月27日

    メディア報道種別: その他

    詳細を見る 詳細を閉じる

    東北大学大学院工学研究科技術社会システム専攻 須川成利教授・黒田理人准教授の研究グループは、セイコーインスツル株式会社(社長:村上 斉、本社:千葉県千葉市)の子会社で、半導体の製造・販売を行うエスアイアイ・セミコンダクタ株式会社(社長:石合 信正、本社:千葉県千葉市)との共同で、UV-AからUV-Bまでの紫外線領域を検知するシリコンを使った紫外線(UV)センサの量産化技術を開発しました。

  3. 技術社会システム専攻の須川成利教授の研究グループが光感度をISO16000に高めた毎秒1,000万コマの超高速撮影が可能な高速度ビデオカメラの製品実用化に成功しました。

    東北大学工学部・工学研究科

    2015年7月17日

    メディア報道種別: その他

    詳細を見る 詳細を閉じる

    技術社会システム専攻の須川成利教授の研究グループが光感度をISO16000に高めた毎秒1,000万コマの超高速撮影が可能な高速度ビデオカメラの製品実用化に成功しました。