Details of the Researcher

PHOTO

Rihito Kuroda
Section
New Industry Creation Hatchery Center
Job title
Professor
Degree
  • 博士(工学)(東北大学)

  • 修士(工学)(東北大学)

e-Rad No.
40581294
Researcher ID

Committee Memberships 70

  • 映像情報メディア学会東北支部 運営委員

    2021/06 - Present

  • IEEE Transactions on Electron Devices Associate Editor

    2020/07 - Present

  • 映像情報メディア学会 情報センシング研究会 委員

    2013/04 - Present

  • 日本学術振興会 R025先進薄膜界面機能創成委員会 庶務幹事

    2020/04 - 2025/03

  • 2021 IEEE International Electron Devices Meeting Courses Chair

    2021/12 - 2021/12

  • 2020 IEEE International Electron Devices Meeting Courses Co-Chair (Tutorials)

    2019/12 - 2020/12

  • 電子情報通信学会エレクトロニクスソサイエティ研究技術会議 技術渉外幹事(先任)

    2019/06 - 2020/06

  • IS&T Electronic Imaging 2020, Image Sensors and Imaging Systems 2019 Program Committee Member

    2019/03 - 2020/02

  • 2019 International Electron Device Meeting Publicity Chair

    2019/01 - 2019/12

  • 高速度イメージングとフォトニクスに関する総合シポウム 「高速度イメージングとフォトニクスに関する総合シポウム 「高速度イメージングとフォトニクスに関する総合シポウム 2019 実行委員会委員

    2019/01 - 2019/11

  • International Conference on Solid State Devices and Materials Chair, Special Area "Advanced Circuits and Systems Interacting with Innovative Devices and Materials"

    2018/11 - 2019/09

  • 電子情報通信学会エレクトロニクスソサイエティ研究技術会議 技術渉外幹事(後任)

    2018/06 - 2019/06

  • IS&T Electronic Imaging 2019, Image Sensors and Imaging Systems 2019 Program Committee Member

    2018/03 - 2019/02

  • 2018 International Electron Device Meeting Publicity Co-Chair

    2018/01 - 2018/12

  • The 32st International Congress on High-Speed Imaging and Photonics International scientific advisory board member

    2018/02 - 2018/10

  • International Conference on Solid State Devices and Materials Chair, Special Area "Advanced Circuits and Systems Interacting with Innovative Devices and Materials"

    2017/11 - 2018/09

  • 電子情報通信学会 エレクトロニクス ソサイエティ シリコン材料・デバイス研究会 幹事

    2014/04 - 2018/06

  • 映像情報メディア学会 情報センシング研究会 委員

    2013/04 - 2018/06

  • 2017 International Electron Device Meeting Sub-committee Chair, Optoelectronics, Displays and Imagers

    2017/04 - 2018/03

  • 2017 International Electron Device Meeting Sub-committee Chair, Optoelectronics, Displays and Imagers

    2017/04 - 2018/03

  • IS&T Electronic Imaging 2018, Image Sensors and Imaging Systems 2018 Program Committee Member

    2017/03 - 2018/02

  • IS&T Electronic Imaging 2018, Image Sensors and Imaging Systems 2018 Program Committee Member

    2017/03 - 2018/02

  • International Conference on Solid State Devices and Materials Vice-chair (Area 5 Advanced Circuits and Systems)

    2017/04 - 2017/09

  • International Conference on Solid State Devices and Materials Vice-chair (Area 5 Advanced Circuits and Systems)

    2017/04 - 2017/09

  • 2016 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices General Secretary

    2017/03 - 2017/08

  • 2016 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices General Secretary

    2017/03 - 2017/08

  • 電子情報通信学会 エレクトロニクスソサイエティ英文論文誌 Special Section on Fundamentals and Applications of Advanced Semiconductor Devices Editorial Committee Member

    2016/08 - 2017/05

  • 電子情報通信学会 エレクトロニクスソサイエティ英文論文誌 Special Section on Fundamentals and Applications of Advanced Semiconductor Devices 編集委員

    2016/08 - 2017/05

  • ITE transactions on MTA, Special Section on Advanced Image Sensor Technology Associate Editor

    2016/08 - 2017/04

  • ITE transactions on MTA, Special Section on Advanced Image Sensor Technology Associate Editor

    2016/08 - 2017/04

  • The Japan Society of Applied Physics Guest Editor

    2016/10 - 2017/03

  • The Japan Society of Applied Physics Guest Editor

    2016/10 - 2017/03

  • 2016 International Electron Device Meeting Sub-committee Member, Optoelectronics, Displays and Imagers

    2016/04 - 2017/03

  • 2016 International Electron Device Meeting Sub-committee Member, Optoelectronics, Displays and Imagers

    2016/04 - 2017/03

  • IS&T Electronic Imaging 2017, Image Sensors and Imaging Systems 2017 Program Committee Member

    2016/07 - 2017/02

  • IS&T Electronic Imaging 2017, Image Sensors and Imaging Systems 2017 Program Committee Member

    2016/07 - 2017/02

  • IEEE SENSORS 2016 Program Commmittee Member

    2016/04 - 2016/11

  • The 31st International Congress on High-Speed Imaging and Photonics Organizing Committee Member, Representative Session Organizer (Session 1:High-speed Image Sensors/Cameras and Imaging Systems)

    2016/04 - 2016/11

  • IEEE SENSORS 2016 Program Commmittee Member

    2016/04 - 2016/11

  • The 31st International Congress on High-Speed Imaging and Photonics Organizing Committee Member, Representative Session Organizer (Session 1:High-speed Image Sensors/Cameras and Imaging Systems)

    2016/04 - 2016/11

  • International Conference on Solid State Devices and Materials 論文委員(Area 5 Advanced Circuits and Systems)

    2016/04 - 2016/09

  • International Conference on Solid State Devices and Materials 論文委員(Area 5 Advanced Circuits and Systems)

    2016/04 - 2016/09

  • 2016 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices General Secretary

    2016/04 - 2016/07

  • 2016 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices General Secretary

    2016/04 - 2016/07

  • 電子情報通信学会 エレクトロニクスソサイエティ英文論文誌 Special Section on Fundamentals and Applications of Advanced Semiconductor Devices 編集委員会幹事

    2015/06 - 2016/05

  • 電子情報通信学会 エレクトロニクスソサイエティ英文論文誌 Special Section on Fundamentals and Applications of Advanced Semiconductor Devices 編集委員会幹事

    2015/06 - 2016/05

  • 2015 International Electron Device Meeting Sub-Committee Member, DISPLAY and IMAGING SYSTEMS

    2015/04 - 2016/03

  • 2015 International Electron Device Meeting Sub-Committee Member, DISPLAY and IMAGING SYSTEMS

    2015/04 - 2016/03

  • IS&T Electronic Imaging 2016, Image Sensors and Imaging Systems 2016 Program Committee Member

    2015/02 - 2016/02

  • IS&T Electronic Imaging 2016, Image Sensors and Imaging Systems 2016 Program Committee Member

    2015/02 - 2016/02

  • IEEE SENSORS 2015 Track Chair (Track-4 Optical Sensors)

    2015/04 - 2015/11

  • IEEE SENSORS 2015 Track Chair (Track-4 Optical Sensors)

    2015/04 - 2015/11

  • International Conference on Solid State Devices and Materials 論文委員(Area 5 Advanced Circuits and Systems)

    2015/04 - 2015/09

  • International Conference on Solid State Devices and Materials 論文委員(Area 5 Advanced Circuits and Systems)

    2015/04 - 2015/09

  • 2015 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices Program Committee Co-Chair

    2015/04 - 2015/07

  • 2015 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices Program Committee Co-Chair

    2015/04 - 2015/07

  • 電子情報通信学会 エレクトロニクスソサイエティ英文論文誌 Special Section on Fundamentals and Applications of Advanced Semiconductor Devices 編集委員会幹事

    2014/06 - 2015/05

  • 電子情報通信学会 エレクトロニクスソサイエティ英文論文誌 Special Section on Fundamentals and Applications of Advanced Semiconductor Devices 編集委員会幹事

    2014/06 - 2015/05

  • IS&T/SPIE Electronic Imaging 2015, Image Sensors and Imaging Systems 2015 Program Committee Member

    2014/02 - 2015/02

  • IS&T/SPIE Electronic Imaging 2015, Image Sensors and Imaging Systems 2015 Program Committee Member

    2014/02 - 2015/02

  • IEEE SENSORS 2014 Track Chair (Track-4 Optical Sensors)

    2014/03 - 2014/11

  • IEEE SENSORS 2014 Track Chair (Track-4 Optical Sensors)

    2014/03 - 2014/11

  • 2014 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices Program Committee Co-Chair

    2014/04 - 2014/07

  • 2014 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices Program Committee Co-Chair

    2014/04 - 2014/07

  • 電子情報通信学会 エレクトロニクスソサイエティ英文論文誌 Special Section on Fundamentals and Applications of Advanced Semiconductor Devices 編集委員会委員

    2013/09 - 2014/05

  • 電子情報通信学会 エレクトロニクスソサイエティ英文論文誌 Special Section on Fundamentals and Applications of Advanced Semiconductor Devices 編集委員会委員

    2013/09 - 2014/05

  • 電子情報通信学会 エレクトロニクス ソサイエティ シリコン材料・デバイス研究会 幹事補佐

    2013/04 - 2014/03

  • 電子情報通信学会 エレクトロニクス ソサイエティ シリコン材料・デバイス研究会 幹事補佐

    2013/04 - 2014/03

  • 2013 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices Program Committee

    2013/04 - 2013/06

  • 2013 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices Program Committee

    2013/04 - 2013/06

Show all ︎Show first 5

Professional Memberships 3

  • The Institute of Electronics, Information and Communication Engineers

  • The Institute of Image Information and Television Engineers

  • IEEE Electron Device Society

Research Interests 2

  • Semiconductor integrated circuits

  • image sensor

Research Areas 2

  • Manufacturing technology (mechanical, electrical/electronic, chemical engineering) / Electric/electronic material engineering /

  • Manufacturing technology (mechanical, electrical/electronic, chemical engineering) / Electronic devices and equipment /

Awards 13

  1. Activity Achievement Award

    2021/03

  2. RIEC Award Tohoku University Researcher

    2021/02 Selection Committee of RIEC Award Development of Wide Spectral Sensitivity Image Sensor Technology and its High Precision Sensing Applications

  3. 19th Intelligent Cosmos Encouragement Award

    2020/05

  4. Arnaud Darmont Award for Best Paper

    2020/02 IS&T International Symposium on Electronic Imaging 2020, Imaging Sensors and Systems 2020

  5. The 2016 nac High Speed Imaging Award

    2016/11/09 International Selection Committee of 2016 nac High Speed Imaging Award for their development of an Ultra High Speed CMOS Image Sensor with improved light sensitivity that is capable of capturing 20 million frames per second with a significant reduction in power consumption. This sensor is now commercially employed in the Shi

  6. 2015 International Image Sensor Workshop, Best Poster Award

    2015/06/10 International Image Sensor Workshop, Organizing Committee

  7. 一般社団法人映像情報メディア学会第16回・平成25年度優秀研究発表賞

    2013/12/18 一般社団法人映像情報メディア学会 200-1000nmの広光波長帯域に感度を有する高紫外光照射耐性CMOSイメージセンサ (2013年9月研究会)

  8. SSDM2012 Young Researcher Award

    2012/09/25 2012 年国際固体素子・材料コンファレンス(SSDM2012) On the Si Surface Flattening Effect and Gate Insulator Breakdown Characteristic

  9. 電気学会 平成23年度電子・情報・システム部門研究会 優秀論文発表賞

    2012/09/06 電気学会 デュアルシリサイドを用いた低直列抵抗CMOSソース/ドレイン電極形成技術

  10. 第17回青葉工学研究奨励賞

    2011/12/10 財団法人青葉工学振興会 原子オーダー平坦ゲート絶縁膜/シリコン界面を有する金属-絶縁膜-半導体デバイスの高性能化

  11. 2007 International Image Sensor Workshop Best Poster Award

    2007/06/10 2007 International Image Sensor Workshop Analysis of Source Follower Random Telegraph Signal Using nMOS and pMOS Array TEG

  12. 東北大学 工学研究科長賞

    2007/03/27 東北大学

  13. IEEE Electron Device Society Japan Chapter Student Award

    2006/01/15 IEEE Electron Device Society Japan Chapter

Show all ︎Show 5

Papers 270

  1. Evaluation of Metal Contamination Behavior on Silicon Wafer Surfaces Rinsed with Deionized Water Containing pg/L-Level Impurities

    Kyohei Tsutano, Takezo Mawaki, Yasuyuki Shirai, Rihito Kuroda

    ECS Transactions 114 (1) 27-33 2024/09/27

    Publisher: The Electrochemical Society

    DOI: 10.1149/11401.0027ecst  

    ISSN: 1938-5862

    eISSN: 1938-6737

    More details Close

    Two tests were performed to investigate the contamination behavior of bare Si wafers by rinsing them using deionized water (DIW) contaminated with metals at the pg/L-level in a single-wafer cleaning process. First, we found that Al, Ti, Mn, Co, Cu, Sr, and Pb exhibited strong correlations between the metal concentrations in DIW and the wafer surface concentrations under different concentrations in DIW and the same rinse time of 480 min. Second, we observed that the wafer surface concentrations of Al, Ti, Cu, and Pb increased with the rinse time, whereas those of Mn, Co, and Sr were constant at rinse times ranging from 10 to 960 min at metal concentrations of 60 pg/L in DIW. In this test, Cu exhibited a high adsorption ratio on bare Si wafers, even at the pg/L level. These data provide novel insights into wet processes for device manufacturing.

  2. Impedance Measurement Platform for Statistical Capacitance and Current Characteristic Measurements of Arrayed Cells with Atto-order Precision

    Koga Saito, Tatsuhiko Suzuki, Hidemi Mitsuda, Tsubasa Nozaki, Takezo Mawaki, Rihito Kuroda

    2024 IEEE 36th International Conference on Microelectronic Test Structures (ICMTS) 1-6 2024/04/15

    Publisher: IEEE

    DOI: 10.1109/icmts59902.2024.10520692  

  3. [Invited Paper] A High SNR Global Shutter CMOS Image Sensor Technology for High Precision Absorption Imaging Applications

    Tetsu Oikawa, Rihito Kuroda, Aoi Hamaya, Yoshinobu Shiba, Takafumi Inada, Yushi Sakai, Yasuyuki Shirai, Shigetoshi Sugawa

    ITE Transactions on Media Technology and Applications 12 (2) 167-174 2024

    Publisher: Institute of Image Information and Television Engineers

    DOI: 10.3169/mta.12.167  

    eISSN: 2186-7364

  4. A Preliminary Demonstration of High Resolution Proximity Capacitance-Optical Multimodal CMOS Image Sensor

    Tsubasa Nozaki, Yoshiaki Watanabe, Chia-Chi Kuo, Koga Saito, Takezo Mawaki, Rihito Kuroda

    Proceedings of the International Display Workshops 1471-1471 2023/12/07

    Publisher: International Display Workshops General Incorporated Association

    DOI: 10.36463/idw.2023.1471  

    ISSN: 1883-2490

  5. Visualization and Analysis of Temporal and Steady-State Gas Concentration in Process Chamber Using 70-dB SNR 1,000 fps Absorption Imaging System

    Y. Sakai, Y. Shiba, T. Inada, T. Goto, T. Suwa, T. Oikawa, A. Hamaya, A. Sutoh, T. Morimoto, Y. Shirai, S. Sugawa, R. Kuroda

    IEEE Transactions on Semiconductor Manufacturing 1-1 2023

    Publisher: Institute of Electrical and Electronics Engineers (IEEE)

    DOI: 10.1109/tsm.2023.3267024  

    ISSN: 0894-6507

    eISSN: 1558-2345

  6. Adsorption and surface reaction of isopropyl alcohol on SiO2 surfaces

    Takezo Mawaki, Akinobu Teramoto, Katsutoshi Ishii, Yoshinobu Shiba, Rihito Kuroda, Tomoyuki Suwa, Shuji Azumo, Akira Shimizu, Kota Umezawa, Yasuyuki Shirai, Shigetoshi Sugawa

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A 40 (5) 2022/09

    DOI: 10.1116/6.0002002  

    ISSN: 0734-2101

    eISSN: 1520-8559

  7. A 70-dB SNR High-Speed Global Shutter CMOS Image Sensor for in Situ Fluid Concentration Distribution Measurements

    Tetsu Oikawa, Rihito Kuroda, Keigo Takahashi, Yoshinobu Shiba, Yasuyuki Fujihara, Hiroya Shike, Maasa Murata, Chia-Chi Kuo, Yhang Ricardo Sipauba Carvalho da Silva, Tetsuya Goto, Tomoyuki Suwa, Tatsuo Morimoto, Yasuyuki Shirai, Takafumi Inada, Yushi Sakai, Masaaki Nagase, Nobukazu Ikeda, Shigetoshi Sugawa

    IEEE Transactions on Electron Devices 69 (6) 2965-2972 2022/06

    DOI: 10.1109/TED.2022.3165520  

    ISSN: 0018-9383

    eISSN: 1557-9646

  8. Two High-Precision Proximity Capacitance CMOS Image Sensors with Large Format and High Resolution

    Yuki Sugama, Yoshiaki Watanabe, Rihito Kuroda, Masahiro Yamamoto, Tetsuya Goto, Toshiro Yasuda, Hiroshi Hamori, Naoya Kuriyama, Shigetoshi Sugawa

    SENSORS 22 (7) 2770-2770 2022/04

    DOI: 10.3390/s22072770  

    eISSN: 1424-8220

  9. HDR CMOS Image Sensors for Automotive Applications

    Isao Takayanagi, Rihito Kuroda

    IEEE TRANSACTIONS ON ELECTRON DEVICES 69 (6) 2815-2823 2022/04

    DOI: 10.1109/TED.2022.3164370  

    ISSN: 0018-9383

    eISSN: 1557-9646

  10. A high-precision current measurement platform applied for statistical measurement of discharge current transient spectroscopy of traps in SiN dielectrics

    Koga Saito, Hayato Suzuki, Hyeonwoo Park, Rihito Kuroda, Akinobu Teramoto, Tomoyuki Suwa, Shigetoshi Sugawa

    Japanese Journal of Applied Physics 60 (8) 086501-086501 2021/08/01

    Publisher: {IOP} Publishing

    DOI: 10.35848/1347-4065/ac1215  

  11. High capacitance density highly reliable textured deep trench SiN capacitors toward 3D integration

    Koga Saito, Ayano Yoshida, Rihito Kuroda, Hiroshi Shibata, Taku Shibaguchi, Naoya Kuriyama, Shigetoshi Sugawa

    Japanese Journal of Applied Physics 60 (SB) 2021/05

    Publisher: {IOP} Publishing

    DOI: 10.35848/1347-4065/abec5f  

    ISSN: 0021-4922

    eISSN: 1347-4065

  12. A Global Shutter Wide Dynamic Range Soft X-Ray CMOS Image Sensor with Backside-Illuminated Pinned Photodiode, Two-Stage Lateral Overflow Integration Capacitor, and Voltage Domain Memory Bank

    Hiroya Shike, Rihito Kuroda, Ryota Kobayashi, Maasa Murata, Yasuyuki Fujihara, Manabu Suzuki, Shoma Harada, Taku Shibaguchi, Naoya Kuriyama, Takaki Hatsui, Jun Miyawaki, Tetsuo Harada, Yuichi Yamasaki, Takeo Watanabe, Yoshihisa Harada, Shigetoshi Sugawa

    IEEE Transactions on Electron Devices 68 (4) 2056-2063 2021/04

    Publisher: Institute of Electrical and Electronics Engineers ({IEEE})

    DOI: 10.1109/TED.2021.3062576  

    ISSN: 0018-9383

    eISSN: 1557-9646

  13. Impact on the Conductance Method of the Asymmetry in the AC Response Induced by Interface Trap Levels

    Hsin Jyun Lin, Hiroshi Watanabe, Akinobu Teramoto, Rihito Kuroda, Kota Umezawa, Kiichi Furukawa, Shigetoshi Sugawa

    ECS Journal of Solid State Science and Technology 10 (4) 2021/04

    DOI: 10.1149/2162-8777/abe8b5  

    ISSN: 2162-8769

    eISSN: 2162-8777

  14. A proposal of analog correlated multiple sampling with high density capacitors for low noise CMOS image sensors

    Shunta Kamoshita, Manabu Suzuki, Rihito Kuroda, Shigetoshi Sugawa

    IS and T International Symposium on Electronic Imaging Science and Technology 2021 (7) 2021

    Publisher: Society for Imaging Science and Technology

    DOI: 10.2352/ISSN.2470-1173.2021.7.ISS-092  

    ISSN: 2470-1173

  15. An over 120 dB Single Exposure Wide Dynamic Range CMOS Image Sensor with Two-Stage Lateral Overflow Integration Capacitor

    Yasuyuki Fujihara, Maasa Murata, Shota Nakayama, Rihito Kuroda, Shigetoshi Sugawa

    IEEE Transactions on Electron Devices 68 (1) 152-157 2021/01

    Publisher: Institute of Electrical and Electronics Engineers ({IEEE})

    DOI: 10.1109/TED.2020.3038621  

    ISSN: 0018-9383

    eISSN: 1557-9646

  16. Modification of copper and copper oxide surface states due to isopropyl alcohol treatment toward area-selective processes

    Takezo Mawaki, Akinobu Teramoto, Katsutoshi Ishii, Yoshinobu Shiba, Rihito Kuroda, Tomoyuki Suwa, Shuji Azumo, Akira Shimizu, Kota Umezawa, Yasuyuki Shirai, Shigetoshi Sugawa

    Journal of Vacuum Science and Technology A: Vacuum, Surfaces and Films 39 (1) 2021/01/01

    DOI: 10.1116/6.0000618  

    ISSN: 0734-2101

    eISSN: 1520-8559

  17. High accuracy high spatial resolution and real-time CMOS proximity capacitance image sensor technology and its applications

    Rihito Kuroda, Masahiro Yamamoto, Yuki Sugama, Yoshiaki Watanabe, Manabu Suzuki, Tetsuya Goto, Toshiro Yasuda, Shinichi Murakami, Yayoi Yokomichi, Hiroshi Hamori, Shigetoshi Sugawa

    ITE Transactions on Media Technology and Applications 9 (2) 122-127 2021

    DOI: 10.3169/mta.9.122  

    eISSN: 2186-7364

  18. A global shutter wide dynamic range soft X-ray CMOS image sensor with BSI pinned photodiode, two-stage LOFIC and voltage domain memory bank

    H. Shike, R. Kuroda, R. Kobayashi, M. Murata, Y. Fujihara, M. Suzuki, T. Shibaguchi, N. Kuriyama, J. Miyawaki, T. Harada, Y. Yamasaki, T. Watanabe, Y. Harada, S. Sugawa

    Technical Digest - International Electron Devices Meeting, IEDM 2020-December 16.4.1-16.4.4 2020/12/12

    DOI: 10.1109/IEDM13553.2020.9372058  

    ISSN: 0163-1918

  19. Over 230 fF/μm2 capacitance density 9.0V breakdown voltage textured deep trench SiN capacitors toward 3D integration Peer-reviewed

    Koga Saito, Ayano Yoshida, Rihito Kuroda, Hiroshi Shibata, Taku Shibaguchi, Naoya Kuriyama, Shigetoshi Sugawa

    Extended Abstract of 2020 International Conference on Solid State Devices and Materials 143-144 2020/09

  20. Influence of silicon wafer surface roughness on semiconductor device characteristics Peer-reviewed

    Keiichiro Mori, Shuichi Samata, Noritomo Mitsugi, Akinobu Teramoto, Rihito Kuroda, Tomoyuki Suwa, Keiichi Hashimoto, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 59 2020/07

    DOI: 10.35848/1347-4065/ab918c  

    ISSN: 0021-4922

    eISSN: 1347-4065

  21. Resistance Measurement Platform for Statistical Analysis of Emerging Memory Materials Peer-reviewed

    Takeru Maeda, Yuya Omura, Rihito Kuroda, Akinobu Teramoto, Tomoyuki Suwa, Shigetoshi Sugawa

    IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING 33 (2) 232-239 2020/05

    DOI: 10.1109/TSM.2020.2983100  

    ISSN: 0894-6507

    eISSN: 1558-2345

  22. Effect of Drain-to-Source Voltage on Random Telegraph Noise Based on Statistical Analysis of MOSFETs with Various Gate Shapes Peer-reviewed

    R. Akimoto, R. Kuroda, A. Teramoto, T. Mawaki, S. Ichino, T. Suwa, S. Sugawa

    IEEE International Reliability Physics Symposium Proceedings 2020-April 1-6 2020/04

    Publisher: IEEE

    DOI: 10.1109/IRPS45951.2020.9128341  

    ISSN: 1541-7026

  23. A High Near-Infrared Sensitivity Over 70-dB SNR CMOS Image Sensor With Lateral Overflow Integration Trench Capacitor Peer-reviewed

    Maasa Murata, Rihito Kuroda, Yasuyuki Fujihara, Yusuke Otsuka, Hiroshi Shibata, Taku Shibaguchi, Yutaka Kamata, Noriyuki Miura, Naoya Kuriyama, Shigetoshi Sugawa

    IEEE TRANSACTIONS ON ELECTRON DEVICES 67 (4) 1653-1659 2020/04

    DOI: 10.1109/TED.2020.2975602  

    ISSN: 0018-9383

    eISSN: 1557-9646

  24. A high-precision 1 Omega-10 M Omega range resistance measurement platform for statistical evaluation of emerging memory materials Peer-reviewed

    Takeru Maeda, Yuya Omura, Rihito Kuroda, Akinobu Teramoto, Tomoyuki Suwa, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 59 (SG) 2020/04

    DOI: 10.35848/1347-4065/ab6d86  

    ISSN: 0021-4922

    eISSN: 1347-4065

  25. High reliability CoFeB/MgO/CoFeB magnetic tunnel junction fabrication using low-damage ion beam etching Peer-reviewed

    Hyeonwoo Park, Akinobu Teramoto, Jun-ichi Tsuchimoto, Keiichi Hashimoto, Tomoyuki Suwa, Marie Hayashi, Rihito Kuroda, Koji Tsunekawa, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 59 (SG) 401-402 2020/04

    DOI: 10.35848/1347-4065/ab6cb5  

    ISSN: 0021-4922

    eISSN: 1347-4065

  26. Over 100 Million Frames per Second 368 Frames Global Shutter Burst CMOS Image Sensor with Pixel-wise Trench Capacitor Memory Array Peer-reviewed

    Manabu Suzuki, Yuki Sugama, Rihito Kuroda, Shigetoshi Sugawa

    SENSORS 20 (4) 1086-1086 2020/02

    DOI: 10.3390/s20041086  

    ISSN: 1424-8220

    eISSN: 1424-8220

  27. An over 120dB dynamic range linear response single exposure CMOS image sensor with two-stage lateral overflow integration trench capacitors

    Yasuyuki Fujihara, Maasa Murata, Shota Nakayama, Rihito Kuroda, Shigetoshi Sugawa

    IS and T International Symposium on Electronic Imaging Science and Technology 2020 (7) 2020/01/26

    Publisher: Society for Imaging Science and Technology

    DOI: 10.2352/ISSN.2470-1173.2020.7.ISS-143  

    ISSN: 2470-1173

  28. Preserved Color Pixel: high-resolution and high-colorfidelity image acquisition using single image sensor with sub-half-micron pixels Peer-reviewed

    Yuichiro Yamashita, Rihito Kuroda, Shigetoshi Sugawa

    ITE TRANSACTIONS ON MEDIA TECHNOLOGY AND APPLICATIONS 8 (3) 161-169 2020

    DOI: 10.3169/mta.8.161  

    ISSN: 2186-7364

    eISSN: 2186-7364

  29. An Optical Filter-Less CMOS Image Sensor with Differential Spectral Response Pixels for Simultaneous UV-Selective and Visible Imaging Peer-reviewed

    Yhang Ricardo Sipauba Carvalho da Silva, Rihito Kuroda, Shigetoshi Sugawa

    SENSORS 20 (1) 13-13 2020/01

    DOI: 10.3390/s20010013  

    ISSN: 1424-8220

    eISSN: 1424-8220

  30. Low-Temperature Deposition of Silicon Nitride Films Using Ultraviolet-Irradiated Ammonia Peer-reviewed

    Yoshinobu Shiba, Akinobu Teramoto, Tomoyuki Suwa, Katsutoshi Ishii, Akira Shimizu, Kota Umezawa, Rihito Kuroda, Shigetoshi Sugawa

    ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY 8 (11) P715-P718 2019/11

    DOI: 10.1149/2.0131911jss  

    ISSN: 2162-8769

    eISSN: 2162-8777

  31. An Accuracy Improved Resistance Measurement Platform for Evaluation of Emerging Memory Materials Peer-reviewed

    Takeru Maeda, Yuya Omura, Rihito Kuroda, Akinobu Teramoto, Tomoyuki Suwa, Shigetoshi Sugawa

    2019 International Conference on Solid State Devices and Materials 531-532 2019/09

  32. A VGA Optical Filter-less CMOS Image Sensor with UV-selective and Visible Light Channels by Differential Spectral Response Pixels Peer-reviewed

    Yhang Ricardo, Sipauba Carvalho da Silva, Rihito Kuroda, Shigetoshi Sugawa

    International Image Sensor Workshop 2019 302-305 2019/06

  33. A Highly Robust Silicon Ultraviolet Selective Radiation Sensor Using Differential Spectral Response Method Peer-reviewed

    Yhang Ricardo Sipauba Carvalho da Silva, Rihito Kuroda, Shigetoshi Sugawa

    SENSORS 19 (12) 2755-1-2755-14 2019/06

    DOI: 10.3390/s19122755  

    eISSN: 1424-8220

  34. Investigation of Rotating Spokes in DC Magnetron Plasma Using High speed Video Camera Over 1 Million Frames Per Second Peer-reviewed

    Shintaro Yamazaki, Tetsuya Goto, Manabu Suzuki, Rihito Kuroda, Shigetoshi Sugawa

    The 15th International Symposium on Sputtering and Plasma Processes FS1-3-FS1-3 2019/05

  35. A CMOS image sensor with dual pixel reset voltage for high accuracy ultraviolet light absorption spectral imaging Peer-reviewed

    Yusuke Aoyagi, Yasuyuki Fujihara, Maasa Murata, Hiroya Shike, Rihito Kuroda, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 58 (SB) SBBL03-1-SBBL03-6 2019/04

    DOI: 10.7567/1347-4065/aaffc1  

    ISSN: 0021-4922

    eISSN: 1347-4065

  36. A high-sensitivity compact gas concentration sensor using ultraviolet light absorption with a heating function for a high-precision trimethyl aluminum gas supply system Peer-reviewed

    Hidekazu Ishii, Masaaki Nagase, Nobukazu Ikeda, Yoshinobu Shiba, Yasuyuki Shirai, Rihito Kuroda, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 58 (SB) SBBL04-1-SBBL04-6 2019/04

    DOI: 10.7567/1347-4065/aafe69  

    ISSN: 0021-4922

    eISSN: 1347-4065

  37. Solid State Devices and Materials Peer-reviewed

    Takuji Hosoi, Hiroyuki Yaguchi, Hiroyuki Kageshima, Masayuki Chikamatsu, Hirokazu Fujiwara, Mamoru Furuta, Kazuyuki Hirama, Kou Johguchi, Toshiaki Kato, Kenichi Kawaguchi, Akihiko Kikuchi, Kentaro Kinoshita, Hideki Kitada, Masaharu Kobayashi, Rihito Kuroda, Shinichiro Kuroki, Tomoko Matsudai, Takeo Minari, Hiroshi Morioka, Kosuke Nagashio, Kazuyoshi Nakada, Osamu Nakatsuka, Akira Oiwa, Hiroyuki Okada, Takafumi Okuda, Teruo Ono, Toshitsugu Sakamoto, Kenji Shiojima, Mizuki Shirao, Mayumi Takeyama, Tetsu Tanaka, Hirokazu Tatsuoka, Takehiko Tawara, Takeshi Tayagaki, Takashi Tokuda, Kunio Tsuda, Makoto Ueki, Kazuhiko Yamamoto, Wenchang Yeh

    JAPANESE JOURNAL OF APPLIED PHYSICS 58 2019/04

    DOI: 10.7567/1347-4065/ab04f2  

    ISSN: 0021-4922

    eISSN: 1347-4065

  38. A 24.3Me - Full Well Capacity CMOS Image Sensor with Lateral Overflow Integration Trench Capacitor for High Precision Near Infrared Absorption Imaging Peer-reviewed

    M. Murata, R. Kuroda, Y. Fujihara, Y. Aoyagi, H. Shibata, T. Shibaguchi, Y. Kamata, N. Miura, N. Kuriyama, S. Sugawa

    Technical Digest - International Electron Devices Meeting, IEDM 2018-December 10.3.1-10.3.4 2019/01/16

    DOI: 10.1109/IEDM.2018.8614590  

    ISSN: 0163-1918

  39. A CMOS Proximity Capacitance Image Sensor with 16μ m Pixel Pitch, 0.1aF Detection Accuracy and 60 Frames per Second Peer-reviewed

    M. Yamamoto, R. Kuroda, M. Suzuki, T. Goto, H. Hamori, S. Murakami, T. Yasuda, S. Sugawa

    Technical Digest - International Electron Devices Meeting, IEDM 2018-December 29.1.1-29.1.4 2019/01/16

    DOI: 10.1109/IEDM.2018.8614636  

    ISSN: 0163-1918

  40. SiN<inf>x</inf> deposition at low temperature using uv-irradiated nh<inf>3</inf> Peer-reviewed

    Y. Shiba, A. Teramoto, T. Suwa, K. Ishii, A. Shimizu, K. Umezawa, R. Kuroda, S. Sugawa

    ECS Transactions 89 (4) 31-36 2019

    DOI: 10.1149/08904.0031ecst  

    ISSN: 1938-6737

    eISSN: 1938-5862

  41. Over 100 million frames per second high speed global shutter CMOS image sensor Peer-reviewed

    R. Kuroda, M. Suzuki, S. Sugawa

    Proceedings of SPIE - The International Society for Optical Engineering 11051 2019

    DOI: 10.1117/12.2524492  

    ISSN: 0277-786X

    eISSN: 1996-756X

  42. Resistance Measurement Platform for Statistical Analysis of Next Generation Memory Materials Peer-reviewed

    Takeru Maeda, Yuya Omura, Akinobu Teramoto, Rihito Kuroda, Tomoyuki Suwa, Shigetoshi Sugawa

    2019 IEEE 32ND INTERNATIONAL CONFERENCE ON MICROELECTRONIC TEST STRUCTURES (ICMTS) 2019-March 70-75 2019

    DOI: 10.1109/ICMTS.2019.8730955  

    ISSN: 1071-9032

  43. Statistical Analysis of Threshold Voltage Variation Using MOSFETs With Asymmetric Source and Drain Peer-reviewed

    Shinya Ichino, Akinobu Teramoto, Rihito Kuroda, Takezo Mawaki, Tomoyuki Suwa, Shigetoshi Sugawa

    IEEE ELECTRON DEVICE LETTERS 39 (12) 1836-1839 2018/12

    DOI: 10.1109/LED.2018.2874012  

    ISSN: 0741-3106

    eISSN: 1558-0563

  44. Meeting matters Peer-reviewed

    Stuart Thomas, Kirsten Moselund, Rihito Kuroda

    NATURE ELECTRONICS 1 (12) 608-609 2018/12

    DOI: 10.1038/s41928-018-0177-y  

    ISSN: 2520-1131

  45. RTS noise characterization and suppression for advanced CMOS image sensors Invited

    Rihito Kuroda, Shinya Ichino, Takezo Mawaki, Tomoyuki Suwa, Akinobu Teramoto, Shigetoshi Sugawa

    Proceedings of the invited talks, 4th International Workshop on Image Sensors and Imaging Systems 12-13 2018/11

  46. High speed and narrow-bandpass liquid crystal filter for real-time multi spectral imaging systems Peer-reviewed

    Kohei Terashima, Kazuhiro Wako, Yasuyuki Fujihara, Yusuke Aoyagi, Maasa Murata, Yosei Shibata, Shigetoshi Sugawa, Takahiro Ishinabe, Rihito Kuroda, Hideo Fujikake

    IEICE Transactions on Electronics E101C (11) 897-900 2018/11

    DOI: 10.1587/transele.E101.C.897  

    ISSN: 0916-8524

    eISSN: 1745-1353

  47. High Speed Global Shutter CMOS Image Sensors Toward Over 100Mfps Peer-reviewed

    Rihito Kuroda, Manabu Suzuki, Shigetoshi Sugawa

    Ultrafast imaging and particle tracking instrumentation and methods 2018 2018/10

  48. Over 100Mfps high speed global shutter CMOS image sensor Invited

    Rihito Kuroda, Manabu Suzuki, Shigetoshi Sugawa

    32nd International Congress on High-Speed Imaging and Photonics 27-27 2018/10

  49. Effects of Process Gases and Gate TiN Electrode during the Post Deposition Anneal to ALD-Al2O3 Dielectric Film Peer-reviewed

    Masaya Saito, Akinobu Teramoto, Tomoyuki Suwa, Kenshi Nagumo, Yoshinobu Shiba, Rihito Kuroda, Shigetoshi Sugawa

    American Vacuum Society 65th International Symposium & Exhibition 161-161 2018/10

  50. High Sensitivity Compact Gas Concentration Sensor with Heating Function for High Precision Trimethyl Aluminum Gas Supply System Peer-reviewed

    Hidekazu Ishii, Masaaki Nagase, Nobukazu Ikeda, Yoshinobu Shiba, Yasuyuki Shirai, Rihito Kuroda, Shigetoshi Sugawa

    2018 International Conference on Solid State Devices and Materials 587-588 2018/09

  51. A 125Mfps Global Shutter CMOS Image Sensor with Burst Correlated Double Sampling during Photo-Electrons Collection Peer-reviewed

    Manabu Suzuki, Rihito Kuroda, Shigetoshi Sugawa

    2018 International Conference on Solid State Devices and Materials 593-594 2018/09

  52. Dual Pixel Reset Voltage CMOS Image Sensor For High SNR Ultraviolet Light Absorption Spectral Imaging Peer-reviewed

    Yusuke Aoyagi, Yasuyuki Fujihara, Maasa Murata, Hiroya Shike, Rihito Kuroda, Shigetoshi Sugawa

    2018 International Conference on Solid State Devices and Materials 595-596 2018/09

  53. Improved Conductance Method for Interface Trap Density of ZrO2-Si interface Peer-reviewed

    Hsin Jyun Lin, Akinobu Teramoto, Hiroshi Watanabe, Rihito Kuroda, Kota Umezawa, Kiichi Furukawa, Shigetoshi Sugawa

    2018 International Conference on Solid State Devices and Materials 865-866 2018/09

  54. Impacts of Boron Concentration and Annealing Temperature on Electrical Characteristics of CoFeB/MgO/CoFeB Magnetic Tunnel Junction Peer-reviewed

    Hyeonwoo Park, Akinobu Teramoto, Jun-ichi Tsuchimoto, Marie Hayashi, Keiichi Hashimoto, Rihito Kuroda, Shigetoshi Sugawa

    2018 International Conference on Solid State Devices and Materials 905-906 2018/09

  55. Impact of atomically flat SiO2/Si interface on improvement of MOS device performance Peer-reviewed

    Akinobu Teramoto, Tomoyuki Suwa, Rihito Kuroda, Shigetoshi Sugawa

    European Advanced Materials Congress 204 2018/08

  56. Solid State Devices and Materials FOREWORD Peer-reviewed

    Hiroyuki Kageshima, Takuji Hosoi, Satoshi Iwamoto, Takayuki Arie, Masahisa Fujino, Yuzo Fukuzaki, Syunta Harada, Masashi Ikegami, Noriyuki Iwamuro, Koh Johguchi, Kuniyuki Kakushima, Haruichi Kanaya, Akihiko Kikuchi, Kentaro Kinoshita, Rihito Kuroda, Yasuyoshi Kurokawa, Kenzo Maehashi, Keiichi Maekawa, Toshiharu Makino, Toshinori Matsushima, Toshitaka Miyata, Hiroshi Morioka, Hiroshi Morioka, Mariappan Murugesan, Kosuke Nagashio, Takahiro Nagata, Osamu Nakatsuka, Nobuhiko Nishiyama, Akira Oiwa, Hiroyuki Okada, Teruo Ono, Toshitsugu Sakamoto, Toshiya Sakata, Mizuki Shirao, Kazuo Sukegawa, Toshikazu Suzuki, Hidetoshi Suzuki, Tetsuya Taima, Tetsu Tanaka, Kohichi Tatsuoka, Takehiko Tawara, Kunio Tsuda, Kazuhiko Yamamoto

    JAPANESE JOURNAL OF APPLIED PHYSICS 57 (4) 2018/04

    DOI: 10.7567/JJAP.57.04F001  

    ISSN: 0021-4922

    eISSN: 1347-4065

  57. Effect of drain current on appearance probability and amplitude of random telegraph noise in low-noise CMOS image sensors Peer-reviewed

    Shinya Ichino, Takezo Mawaki, Akinobu Teramoto, Rihito Kuroda, Hyeonwoo Park, Shunichi Wakashima, Tetsuya Goto, Tomoyuki Suwa, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 57 (4) 04FF08-1-04FF08-6 2018/04

    DOI: 10.7567/JJAP.57.04FF08  

    ISSN: 0021-4922

    eISSN: 1347-4065

  58. Experimental investigation of localized stress-induced leakage current distribution in gate dielectrics using array test circuit Peer-reviewed

    Hyeonwoo Park, Akinobu Teramoto, Rihito Kuroda, Tomoyuki Suwa, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 57 (4) 04FE11-1-04FE11-5 2018/04

    DOI: 10.7567/JJAP.57.04FE11  

    ISSN: 0021-4922

    eISSN: 1347-4065

  59. A preliminary chip evaluation toward over 50Mfps burst global shutter stacked CMOS image sensor Peer-reviewed

    Manabu Suzuki, Masashi Suzuki, Rihito Kuroda, Shigetoshi Sugawa

    IS and T International Symposium on Electronic Imaging Science and Technology 3981-3984 2018

    DOI: 10.2352/ISSN.2470-1173.2018.11.IMSE-398  

    eISSN: 2470-1173

  60. A Multi Spectral Imaging System with a 71dB SNR 190-1100 nm CMOS Image Sensor and an Electrically Tunable Multi Bandpass Filter Peer-reviewed

    Yasuyuki Fujihara, Yusuke Aoyagi, Maasa Murata, Satoshi Nasuno, Shunichi Wakashima, Rihito Kuroda, Kohei Terashima, Takahiro Ishinabe, Hideo Fujikake, Kazuhiro Wako, Shigetoshi Sugawa

    ITE TRANSACTIONS ON MEDIA TECHNOLOGY AND APPLICATIONS 6 (3) 187-194 2018

    DOI: 10.3169/mta.6.187  

    ISSN: 2186-7364

    eISSN: 2186-7364

  61. Impacts of Random Telegraph Noise with Various Time Constants and Number of States in Temporal Noise of CMOS Image Sensors Peer-reviewed

    Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa

    ITE TRANSACTIONS ON MEDIA TECHNOLOGY AND APPLICATIONS 6 (3) 171-179 2018

    DOI: 10.3169/mta.6.171  

    ISSN: 2186-7364

    eISSN: 2186-7364

  62. Statistical Analyses of Random Telegraph Noise in Pixel Source Follower with Various Gate Shapes in CMOS Image Sensor Peer-reviewed

    Shinya Ichino, Takezo Mawaki, Akinobu Teramoto, Rihito Kuroda, Shunichi Wakashima, Tomoyuki Suwa, Shigetoshi Sugawa

    ITE TRANSACTIONS ON MEDIA TECHNOLOGY AND APPLICATIONS 6 (3) 163-170 2018

    DOI: 10.3169/mta.6.163  

    ISSN: 2186-7364

    eISSN: 2186-7364

  63. High-speed multi-bandpass liquid-crystal filter using dual-frequency liquid crystal for real-time spectral imaging system Peer-reviewed

    Takahiro Ishinabe, Kohei Terashima, Kazuhiro Wako, Yasuyuki Fujihara, Yusuke Aoyagi, Maasa Murata, Satoshi Nasuno, Shunichi Wakashima, Rihito Kuroda, Yosei Shibata, Shigetoshi Sugawa, Hideo Fujikake

    International Display Workshops 10555-29-10555-29 2018/01

  64. A High Sensitivity and Compact Real Time Gas Concentration Sensor for Semiconductor and Electronic Device Manufacturing Process Peer-reviewed

    Hidekazu Ishii, Masaaki Nagase, Nobukazu Ikeda, Yoshinobu Shiba, Yasuyuki Shirai, Rihito Kuroda, Shigetoshi Sugawa

    SELECTED PROCEEDINGS FROM THE 233RD ECS MEETING 85 (13) 1399-1405 2018

    DOI: 10.1149/08513.1399ecst  

    ISSN: 1938-5862

    eISSN: 1938-6737

  65. Narrow-Bandpass Liquid Crystal Filter for Real-Time Multi Spectral Imaging Systems Peer-reviewed

    Kohei Terashima, Takahiro Ishinabe, Kazuo Wako, Yasuyuki Fujihara, Yusuke Aoyagi, Maasa Murata, Satoshi Nasuno, Shunichi Wakashima, Rihito Kuroda, Yosei Shibata, Shigetoshi Sugawa, Hideo Fujikake

    International Display Workshops 259-261 2017/12

  66. Formation technology of flat surface with epitaxial growth on ion-implanted (100)-oriented Si surface of thin silicon-on-insulator Peer-reviewed

    Kiichi Furukawa, Akinobu Teramoto, Rihito Kuroda, Tomoyuki Suwa, Keiichi Hashimoto, Shigetoshi Sugawa, Daisuke Suzuki, Yoichiro Chiba, Katsutoshi Ishii, Akira Shimizu, Kazuhide Hasebe

    JAPANESE JOURNAL OF APPLIED PHYSICS 56 (10) 105503-1-105503-8 2017/10

    DOI: 10.7567/JJAP.56.105503  

    ISSN: 0021-4922

    eISSN: 1347-4065

  67. Analysis of Random Telegraph Noise Behaviors of nMOS and pMOS toward Back Bias Voltage Changing Peer-reviewed

    Takezo Mawaki, Akinobu Teramoto, Rihito Kuroda, Shinya Ichino, Shigetoshi Sugawa

    Extended Abstracts of the 2017 International Conference on Solid State Devices and Materials 333-334 2017/09/22

  68. Impact of Drain Current to Appearance Probability and Amplitude of Random Telegraph Noise in Low Noise CMOS Image Sensors Peer-reviewed

    Shinya Ichino, Takezo Mawaki, Akinobu Teramoto, Rihito Kuroda, Hyeonwoo Park, Takeru Maeda, Shunichi Wakashima, Tetsuya Goto, Tomoyuki Suwa, Shigetoshi Sugawa

    Extended Abstracts of the 2017 International Conference on Solid State Devices and Materials 331-332 2017/09/22

  69. Experimental Investigation of Localized Stress Induced Leakage Current Distribution in Gate Dielectrics Using Array Test Circuit Peer-reviewed

    Hyeonwoo Park, Tomoyuki Suwa, Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa

    Extended Abstracts of the 2017 International Conference on Solid State Devices and Materials 785-786 2017/09/21

  70. Hole-Trapping Process at Al2O3/GaN Interface Formed by Atomic Layer Deposition Peer-reviewed

    Akinobu Teramoto, Masaya Saito, Tomoyuki Suwa, Tetsuo Narita, Rihito Kuroda, Shigetoshi Sugawa

    IEEE ELECTRON DEVICE LETTERS 38 (9) 1309-1312 2017/09

    DOI: 10.1109/LED.2017.2734914  

    ISSN: 0741-3106

    eISSN: 1558-0563

  71. Improvement in Electrical Characteristics of ALD Al2O3 Film by Microwave Excited Ar/O2 Plasma Treatment Peer-reviewed

    Masaya Saito, Tomoyuki Suwa, Akinobu Teramoto, Yasumasa Koda, Rihito Kuroda, Yoshinobu Shiba, Shigetoshi Sugawa, Junichi Tsuchimoto, Marie Hayashi

    232nd ECS Meeting Abstracts MA2017-01 1249-1249 2017/06

  72. 10Mfps 960 Frames Video Capturing Using a UHS Global Shutter CMOS Image Sensor with High Density Analog Memories Peer-reviewed

    Manabu Suzuki, Masashi Suzuki, Rihito Kuroda, Yuki Kumagai, Akira Chiba, Noriyuki Miura, Naoya Kuriyama, Shigetoshi Sugawa

    2017 International Image Sensor Workshop 308-311 2017/05/31

  73. Statistical Analysis of Random Telegraph Noise in Source Follower Transistors with Various Shapes Peer-reviewed

    Shinya Ichino, Takezo Mawaki, Shunichi Wakashima, Akinobu Teramoto, Rihito Kuroda, Phillipe Gaubert, Tetsuya Goto, Tomoyuki Suwa, Shigetoshi Sugawa

    2017 International Image Sensor Workshop 39-42 2017/05/30

  74. Impact of Random Telegraph Noise with Various Time Constants and Number of States in CMOS Image Sensors Peer-reviewed

    Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa

    2017 International Image Sensor Workshop 43-46 2017/05/30

  75. A Spectral Imaging System with an Over 70dB SNR CMOS Image Sensor and Electrically Tunable 10nm FWHM Multi-Bandpass Filter Peer-reviewed

    Yasuyuki Fujihara, Yusuke Aoyagi, Satoshi Nasuno, Shunichi Wakashima, Rihito Kuroda, Kohei Terashima, Takahiro Ishinabe, Hideo Fujikake, Kazuhiro Wako, Shigetoshi Sugawa

    2017 International Image Sensor Workshop 47-50 2017/05/30

  76. An over 1Mfps global shutter CMOS image sensor with 480 frame storage using vertical analog memory integration Peer-reviewed

    M. Suzuki, M. Suzuki, R. Kuroda, Y. Kumagai, A. Chiba, N. Miura, N. Kuriyama, S. Sugawa

    Technical Digest - International Electron Devices Meeting, IEDM 8.5.1-8.5.4 2017/01/31

    Publisher: Institute of Electrical and Electronics Engineers Inc.

    DOI: 10.1109/IEDM.2016.7838376  

    ISSN: 0163-1918

  77. 190-1100 nm Waveband multispectral imaging system using high light resistance wide dynamic range CMOS image sensor Peer-reviewed

    Yasuyuki Fujihara, Satoshi Nasuno, Shunichi Wakashima, Yusuke Aoyagi, Rihito Kuroda, Shigetoshi Sugawa

    Proceedings of IEEE Sensors 283-285 2017/01/05

    DOI: 10.1109/ICSENS.2016.7808492  

    ISSN: 1930-0395

    eISSN: 2168-9229

  78. Narrow-bandpass liquid crystal filter for real-time multi spectral imaging systems Peer-reviewed

    Kohei Terashima, Takahiro Ishinabe, Kazuhiro Wako, Yasuyuki Fujihara, Yusuke Aoyagi, Maasa Murata, Satoshi Nasuno, Shunichi Wakashima, Rihito Kuroda, Yosei Shibata, Shigetoshi Sugawa, Hideo Fujikake

    Proceedings of the International Display Workshops 1 259-261 2017

    ISSN: 1883-2490

  79. High sensitivity and high readout speed electron beam detector using steep pn junction Si diode for low acceleration voltage Peer-reviewed

    Yasumasa Koda, Rihito Kuroda, Masaya Hara, Hiroyuki Tsunoda, Shigetoshi Sugawa

    IS and T International Symposium on Electronic Imaging Science and Technology 11 14-17 2017

    Publisher: Society for Imaging Science and Technology

    DOI: 10.2352/ISSN.2470-1173.2017.11.IMSE-178  

    ISSN: 2470-1173

    eISSN: 2470-1173

  80. A high sensitivity 20Mfps CMOS image sensor with readout speed of 1Tpixel/sec for visualization of ultra-high speed phenomena Peer-reviewed

    R. Kuroda, S. Sugawa

    Proceedings of SPIE - The International Society for Optical Engineering 10328 1032802-1-1032802-6 2017

    DOI: 10.1117/12.2270787  

    ISSN: 0277-786X

    eISSN: 1996-756X

  81. Impact of SiO2/Si Interface Micro-roughness on SILC Distribution and Dielectric Breakdown: A Comparative Study with Atomically Flattened Devices Peer-reviewed

    Hyeonwoo Park, Tetsuya Goto, Rihito Kuroda, Akinobu Teramoto, Tomoyuki Suwa, Daiki Kimoto, Shigetoshi Sugawa

    2017 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM (IRPS) DG7.1-DG7.5 2017

    DOI: 10.1109/IRPS.2017.7936364  

    ISSN: 1541-7026

  82. Atomically flat interface for noise reduction in SOI-MOSFETs Peer-reviewed

    Philippe Gaubert, Alexandre Kircher, Hyeonwoo Park, Rihito Kuroda, Shigetoshi Sugawa, Tetsuya Goto, Tomoyuki Suwa, Akinobu Teramoto

    2017 INTERNATIONAL CONFERENCE ON NOISE AND FLUCTUATIONS (ICNF) 7985986-1-7985986-4 2017

    DOI: 10.1109/ICNF.2017.7985986  

    ISSN: 2575-5587

    eISSN: 2575-5595

  83. A High Sensitivity 20Mfps CMOS Image Sensor with Readout speed of 1Tpixel/sec for Visualization of Ultra-high Speed Phenomena Invited Peer-reviewed

    Rihito Kuroda, Shigetoshi Sugawa

    Proceedings of the 31st International Congress on High-speed Imaging and Photonics 68-73 2016/11/09

  84. Formation Technology of Flat Surface after Selective Epitaxial Growth on Ion-Implanted (100) Oriented Thin SOI Wafers Peer-reviewed

    Kiichi Furukawa, Akinobu Teramoto, Rihito Kuroda, Tomoyuki Suwa, Keiichi Hashimoto, Shigetoshi Sugawa, Daisuke Suzuki, Yoichiro Chiba, Katsutoshi Ishii, Akira Shimizu, Kazuhide Hasebe

    Extended Abstracts of the 2016 International Conference on Solid State Devices and Materials 649-650 2016/09/28

  85. On-Chip Optical Filter Technology with Low Extinction Coefficient SiN for Ultraviolet-Visible-Near Infrared Light Waveband Spectral Imaging Peer-reviewed

    Yasumasa Koda, Yhang Ricardo, Sipauba Carvalho, da Silva, Loïc Julien, Daisuke Sawada, Tetsuya Goto, Rihito Kuroda, Shigetoshi Sugawa

    2016 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 418-422 2016/07/04

  86. Advanced CMOS Image Sensors Development for High Sensitivity, High Speed and Wide Spectral Response Invited

    Rihito Kuroda, Shigetoshi Sugawa

    International Workshop on Radiation Resistant Sensors and Related Technologies for Nuclear Power Plant 44-44 2016/04/20

  87. Low Leakage Current Al2O3 Metal-Insulator-Metal Capacitors Formed By Atomic Layer Deposition at Optimized Process Temperature and O2 Post Deposition Annealing

    Yasumasa Koda, Hisaya Sugita, Tomoyuki Suwa, Rihito Kuroda, Tetsuya Goto, Akinobu Teramoto, Shigetoshi Sugawa

    ECS Meeting Abstracts MA2016-01 (23) 1174-1174 2016/04/01

    Publisher: The Electrochemical Society

    DOI: 10.1149/ma2016-01/23/1174  

    eISSN: 2151-2043

    More details Close

    Introduction In integrated circuits, Metal-Insulator-Metal (MIM) capacitor is the key passive element for filtering, decoupling and oscillating, and so on. Followings are especially important requirements; high capacitance density, low leakage current density [1]. To meet these requirements, various high-k materialshave been investigated for dielectric materials of MIM capacitors [2,3].  Atomic layer deposition (ALD) is one of the most promising methods. It is considered that the temperature of ALD is one of important parameter in relation to the supply of Trimethylaluminum (TMA) for Al2O3. A recent study reported that an excessive high temperature may cause decomposition of TMA and the substrate material must not be oxidized during the oxidation process. H2O oxidation at stage temperature of 75 °C is shown to be effective because the oxidation ability of H2O becomes low, so it is effective to form the high quality interface of Al2O3/metal. Then, it is expected to be effective to improve Al2O3 film quality by post deposition annealing (PDA). In this paper, using the developed ALD process equipment, Al2O3 film was deposited by H2O oxidation ALD at stage temperature of 75C°. The impact of the annealing on the electrical characteristics of MIM and metal-insulator-silicon (MIS) capacitors was investigated. Experiment Figures 1 and 2 show the process flow and the cross sectional schematic image of the fabricated MIM capacitors, respectively. After n-type (100) Si wafers Cleaning, SiO2 films were formed by thermal wet oxidation at 1000°C. Next, bottom TiN electrodes were formed by DC sputtering at 1kW(2.5W/cm2) with Ar/N2 flow at 300°C. To form active region, SiO2 films were deposited by atmospheric pressure chemical vapor deposition with SiH4/O2/N2 mixed gases at 400°C and the wet etching was carried out. Al2O3 films were deposited by ALD. TMA was supplied at 50°C to the chamber with the high temperature flow control system (HT-FCS) [5]. After the four times cyclic purge, H2O gas was supplied to the chamber and then TMA was oxidized to Al2O3 film. At this time, the pressure of the chamber was 133Pa and the wafer stage was 75°C. At this process condition, Al2O3 growth rate per cycle was 0.35nm/cycle nearly equaled to the thickness of mono-layer Al2O3 and high uniformity of Al2O3 film thickness in the Si-wafer was obtained [4]. In this work, 40 cycles were carried out. Next, the three types of PDA conditions were applied to investigate the effect of PDA to improve Al2O3 films: (1) without annealing; (2) O2 annealing at 400°C for 30min; (3) N2 annealing at 400°C for 30min. Finally, the top Al electrode was formed by high vacuum vaporization. As reference samples, MIS with three types of Al2O3 dielectric were prepared on n-type (100) Si wafers. Result and Discussion Figure 3 shows the leakage current density of MIM capacitors with the area of 1.0×10-4cm2 as a function of applied voltage. The thickness of Al2O3 films for without annealing, O2 annealing and N annealing were 13.7, 11.0 and 11.2nm, respectively. Here these films thickness were measured with the reference Al2O3 samples deposited on Si wafers by spectroscopic ellipsometry. Regarding the measurement conditions of leakage current, the delay time at each applied bias was set to 40sec to eliminate the transition and displacement current to accurately measure the quality of Al2O3 films. Figure 4 shows the capacitance density of MIM capacitors with the area of 1.0×10-4cm2 as a function of applied voltage at a frequency of 100kHz. As a result, the leakage current density and  the capacitance density at 1V for without annealing, O2 annealing and N2 annealing were 7.2×10-10 and ,1.2×10-10 and 1.5×10-10 A/cm-2 and 5.7, 6.8and 6.3 fF/um-2, respectively. Figure 6 shows the comparison result on capacitance density and leakage current between this work and reported MIM capacitors with various materials. From this result, the leakage current density of both of MIM and MIS using Al2O3 without the annealing were about the same level as previous works. The reduction of the leakage current and increase of capacitance density were confirmed especially for the O2 annealing and the superior characteristics in comparison to the previous works were successfully obtained. Furthermore, by measuring C-V characteristic of MIS as reference samples, the absolute value of the fixed charge density of Al2O3 film was reduced by 2.04×1012cm2 by both O2 and N2 annealing processes. Therefore, O2 annealing at 400°C is considered to be an effective PDA for the formed Al2O3 film. In conclusion, by O2 annealing at 400°C for Al2O3 film deposited by H2O oxidation ALD at relatively low temperature of 75°C is effective process sequence to achieve low leakage current MIM capacitors. Reference [1]Chit Hwei Ng, et al.,  IEEE Trans, vol.52(2005) 1399-1408 [2]S. Becu, et al., Microelectronic Eng., vol.83(2006) 2422 [3]Sang-UK Park, et al., Microelectronic Eng., vol.88(2011) 3389-3392 [4]Hisaya Sugita, et al., ECS Trans, vol.66 (2015) 305-314 [5]Michio Yamaji, et al., ECS Trans, vol.45 (2012) 429 <p></p> Figure 1 <p></p>

  88. Proposal of tunneling- and diffusion-current hybrid MOSFET: A device simulation study Peer-reviewed

    Kiichi Furukawa, Akinobu Teramoto, Rihito Kuroda, Tomoyuki Suwa, Keiichi Hashimoto, Takashi Kojiri, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 55 (4) 04ED12-1-04ED12-7 2016/04

    DOI: 10.7567/JJAP.55.04ED12  

    ISSN: 0021-4922

    eISSN: 1347-4065

  89. Analysis and reduction of leakage current of 2 kV monolithic isolator with wide trench spiral isolation structure Peer-reviewed

    Yusuke Takeuchi, Rihito Kuroda, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 55 (4) 04EF07-1-04EF07-5 2016/04

    DOI: 10.7567/JJAP.55.04EF07  

    ISSN: 0021-4922

    eISSN: 1347-4065

  90. Wide dynamic range LOFIC CMOS image sensors: principle, achievements and extendibility Invited Peer-reviewed

    Rihito Kuroda, Shigetoshi Sugawa

    International Forum on Detectors for Photon Science 9 2016/02

  91. A CMOS image sensor with 240 μv/e- conversion gain, 200 ke- full well capacity, 190-1000 nm spectral response and high robustness to UV light Peer-reviewed

    Nasuno, S., Wakashima, S., Kusuhara, F., Kuroda, R., Sugawa, S.

    ITE Transactions on Media Technology and Applications 4 (2) 116-122 2016

  92. Introduction of Atomically Flattening of Si Surface to Large-Scale Integration Process Employing Shallow Trench Isolation Peer-reviewed

    Tetsuya Goto, Rihito Kuroda, Naoya Akagawa, Tomoyuki Suwa, Akinobu Teramoto, Xiang Li, Toshiki Obara, Daiki Kimoto, Shigetoshi Sugawa, Yutaka Kamata, Yuki Kumagai, Katsuhiko Shibusawa

    ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY 5 (2) P67-P72 2016

    DOI: 10.1149/2.0221602jss  

    ISSN: 2162-8769

    eISSN: 2162-8777

  93. Random Telegraph Noise Measurement and Analysis based on Arrayed Test Circuit toward High S/N CMOS Image Sensors Peer-reviewed

    Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa

    2016 INTERNATIONAL CONFERENCE ON MICROELECTRONIC TEST STRUCTURES (ICMTS) 2016-May 46-51 2016

    DOI: 10.1109/ICMTS.2016.7476172  

    ISSN: 1071-9032

  94. Analysis and reduction technologies of floating diffusion capacitance in CMOS image sensor for photon-countable sensitivity Peer-reviewed

    Fumiaki Kusuhara, Shunichi Wakashima, Satoshi Nasuno, Rihito Kuroda, Shigetoshi Sugawa

    ITE Transactions on Media Technology and Applications 4 (2) 91-98 2016

    Publisher: Institute of Image Information and Television Engineers

    DOI: 10.3169/mta.4.91  

    ISSN: 2186-7364

    eISSN: 2186-7364

  95. Floating capacitor load readout operation for small, low power consumption and high S/N Ratio CMOS image sensors Peer-reviewed

    Shunichi Wakashima, Fumiaki Kusuhara, Rihito Kuroda, Shigetoshi Sugawa

    ITE Transactions on Media Technology and Applications 4 (2) 99-108 2016

    Publisher: Institute of Image Information and Television Engineers

    DOI: 10.3169/mta.4.99  

    ISSN: 2186-7364

    eISSN: 2186-7364

  96. A high quantum efficiency high readout speed 1024 pixel ultraviolet-visible-near infrared waveband photodiode array Peer-reviewed

    Rihito Kuroda, Takahiro Akutsu, Yasumasa Koda, Kenji Takubo, Hideki Tominaga, Ryuta Hirose, Tomohiro Karasawa, Shigetoshi Sugawa

    ITE Transactions on Media Technology and Applications 4 (2) 109-115 2016

    Publisher: Institute of Image Information and Television Engineers

    DOI: 10.3169/mta.4.109  

    ISSN: 2186-7364

    eISSN: 2186-7364

  97. A CMOS image sensor with 240 μv/e- conversion gain, 200 ke- full well capacity, 190-1000 nm spectral response and high robustness to UV light Peer-reviewed

    Satoshi Nasuno, Shunichi Wakashima, Fumiaki Kusuhara, Rihito Kuroda, Shigetoshi Sugawa

    ITE Transactions on Media Technology and Applications 4 (2) 116-122 2016

    DOI: 10.3169/mta.4.116  

    eISSN: 2186-7364

  98. A 20Mfps global shutter CMOS image sensor with improved light sensitivity and power consumption performances Peer-reviewed

    Rihito Kuroda, Yasuhisa Tochigi, Ken Miyauchi, Tohru Takeda, Hidetake Sugo, Fan Shao, Shigetoshi Sugawa

    ITE Transactions on Media Technology and Applications 4 (2) 149-154 2016

    Publisher: Institute of Image Information and Television Engineers

    DOI: 10.3169/mta.4.149  

    ISSN: 2186-7364

    eISSN: 2186-7364

  99. Low Leakage Current Al2O3 Metal-Insulator-Metal Capacitors Formed by Atomic Layer Deposition at Optimized Process Temperature and O-2 Post Deposition Annealing Peer-reviewed

    Y. Koda, H. Sugita, T. Suwa, R. Kuroda, T. Goto, A. Teramoto, S. Sugawa

    SILICON COMPATIBLE MATERIALS, PROCESSES, AND TECHNOLOGIES FOR ADVANCED INTEGRATED CIRCUITS AND EMERGING APPLICATIONS 6 72 (4) 91-100 2016

    DOI: 10.1149/07204.0091ecst  

    ISSN: 1938-5862

  100. Introduction of a High Selectivity Etching Process with Advanced SiNx Etch Gas in the Fabrication of FinFET Structures Peer-reviewed

    T. Kojiri, T. Suwa, K. Hashimoto, A. Teramoto, R. Kuroda, S. Sugawa

    SILICON COMPATIBLE MATERIALS, PROCESSES, AND TECHNOLOGIES FOR ADVANCED INTEGRATED CIRCUITS AND EMERGING APPLICATIONS 6 72 (4) 23-30 2016

    DOI: 10.1149/07204.0023ecst  

    ISSN: 1938-5862

  101. Low leakage current Al<inf>2</inf>O<inf>3</inf> metal-insulator-metal capacitors formed by atomic layer deposition at optimized process temperature and O<inf>2</inf> post deposition annealing Peer-reviewed

    Y. Koda, H. Sugita, T. Suwa, R. Kuroda, T. Goto, A. Teramoto, S. Sugawa

    ECS Transactions 72 (4) 91-100 2016

    DOI: 10.1149/07204.0091ecst  

    ISSN: 1938-5862

    eISSN: 1938-6737

  102. Introduction of a high selectivity etching process with advanced SiN<inf>x</inf> etch gas in the fabrication of FinFET structures Peer-reviewed

    T. Kojiri, T. Suwa, K. Hashimoto, A. Teramoto, R. Kuroda, S. Sugawa

    ECS Transactions 72 (4) 23-30 2016

    DOI: 10.1149/07204.0023ecst  

    ISSN: 1938-6737

    eISSN: 1938-5862

  103. A Dead-time Free Global Shutter CMOS Image Sensor with in-pixel LOFIC and ADC using Pixel-wise Connections Peer-reviewed

    Hidetake Sugo, Shunichi Wakashima, Rihito Kuroda, Yuichiro Yamashita, Hirofumi Sumi, Tzu-Jui Wang, Po-Sheng Chou, Ming-Chieh Hsu, Shigetoshi Sugawa

    2016 IEEE SYMPOSIUM ON VLSI CIRCUITS (VLSI-CIRCUITS) 2016-September 224-225 2016

    DOI: 10.1109/VLSIC.2016.7573544  

  104. Evaluating Work-Function and Composition of ErSix on Various Surface Orientation of Silicon Peer-reviewed

    Akinobu Teramoto, Hiroaki Tanaka, Tomoyuki Suwa, Tetsuya Goto, Rihito Kuroda, Tsukasa Motoya, Kazumasa Kawase, Shigetoshi Sugawa

    ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY 5 (10) P608-P613 2016

    DOI: 10.1149/2.0221610jss  

    ISSN: 2162-8769

    eISSN: 2162-8777

  105. A High Sensitivity Compact Gas Concentration Sensor using UV Light and Charge Amplifier Circuit Peer-reviewed

    Hidekazu Ishii, Masaaki Nagase, Nobukazu Ikeda, Yoshinobu Shiba, Yasuyuki Shirai, Rihito Kuroda, Shigetoshi Sugawa

    2016 IEEE SENSORS 877-879 2016

    DOI: 10.1109/ICSENS.2016.7808698  

    ISSN: 1930-0395

    eISSN: 2168-9229

  106. A Dead-time Free Global Shutter CMOS Image Sensor with in-pixel LOFIC and ADC using Pixel-wise Connections Peer-reviewed

    Hidetake Sugo, Shunichi Wakashima, Rihito Kuroda, Yuichiro Yamashita, Hirofumi Sumi, Tzu-Jui Wang, Po-Sheng Chou, Ming-Chieh Hsu, Shigetoshi Sugawa

    2016 IEEE SYMPOSIUM ON VLSI CIRCUITS (VLSI-CIRCUITS) 2016-September 13-14 2016

    DOI: 10.1109/VLSIC.2016.7573544  

  107. 190-1100 nm Waveband Multispectral Imaging System using High Light Resistance Wide Dynamic Range CMOS Image Sensor Peer-reviewed

    Yasuvuki Fujihara, Satoshi Nasuno, Shunichi Wakashima, Yusuke Aoyagi, Rihito Kuroda, Shigetoshi Sugawa

    2016 IEEE SENSORS 37-38 2016

    ISSN: 1930-0395

  108. An Over 1Mfps Global Shutter CMOS Image Sensor with 480 Frame Storage Using Vertical Analog Memory Integration Peer-reviewed

    M. Suzuki, M. Suzuki, R. Kuroda, Y. Kumagai, A. Chiba, N. Miura, N. Kuriyama, S. Sugawa

    2016 IEEE INTERNATIONAL ELECTRON DEVICES MEETING (IEDM) 212-215 2016

    DOI: 10.1109/IEDM.2016.7838376  

    ISSN: 2380-9248

  109. Analysis and reduction of leakage current of 2kV monolithic isolator with wide trench spiral isolation structure Peer-reviewed

    Yusuke Takeuchi, Rihito Kuroda, Shigetoshi Sugawa

    Extended Abstracts of the 2015 International Conference on Solid State Devices and Materials 804-805 2015/09/30

  110. Proposal of Tunneling and Diffusion Current Hybrid MOSFET Peer-reviewed

    Kiichi Furukawa, Rihito Kuroda, Tomoyuki Suwa, Keiichi Hashimoto, Akinobu Teramoto, Shigetoshi Sugawa

    Extended Abstracts of the 2015 International Conference on Solid State Devices and Materials 86-87 2015/09/29

  111. Measurement and Analysis of Seismic Response in Semiconductor Manufacturing Equipment Peer-reviewed

    Kaori Komoda, Masashi Sakuma, Masakazu Yata, Yoshio Yamazaki, Fuminobu Imaizumi, Rihito Kuroda, Shigetoshi Sugawa

    IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING 28 (3) 289-296 2015/08

    DOI: 10.1109/TSM.2015.2427807  

    ISSN: 0894-6507

    eISSN: 1558-2345

  112. A 80% QE High Readout Speed 1024 Pixel Linear Photodiode Array for UV-VIS-NIR Spectroscopy Peer-reviewed

    Rihito Kuroda, Takahiro Akutsu, Yasumasa Koda, Kenji Takubo, Hideki Tominaga, Ryuuta Hirose, Tomohiro Karasawa, Shigetoshi Sugawa

    Proceedings of 2015 International Image Sensor Workshop 78-81 2015/06

  113. Analysis and Reduction of Floating Diffusion Capacitance Components of CMOS Image Sensor for Photon-Countable Sensitivity Peer-reviewed

    Fumiaki Kusuhara, Shunichi Wakashima, Satoshi Nasuno, Rihito Kuroda, Shigetoshi Sugawa

    Proceedings of 2015 International Image Sensor Workshop 120-123 2015/06

  114. A 20Mfps Global Shutter CMOS Image Sensor with Improved Sensitivity and Power Consumption Peer-reviewed

    Shigetoshi Sugawa, Rihito Kuroda, Tohru Takeda, Fan Shao, Ken Miyauchi, Yasuhisa Tochigi

    Proceedings of 2015 International Image Sensor Workshop 166-169 2015/06

  115. A CMOS Image Sensor with 240μV/e- Conversion Gain, 200ke- Full Well Capacity and 190-1000nm Spectral Response Peer-reviewed

    Satoshi Nasuno, Shunichi Wakashima, Fumiaki Kusuhara, Rihito Kuroda, Shigetoshi Sugawa

    Proceedings of 2015 International Image Sensor Workshop 312-315 2015/06

  116. Effect of Process Temperature of Al2O3 Atomic Layer Deposition Using Accurate Process Gasses Supply System Peer-reviewed

    Hisaya Sugita, Yasukasa Koda, Tomoyuki Suwa, Rihito Kuroda, Tetsuya Goto, Hidekazu Ishii, Satoru Yamashita, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    227th Meeting of The Electrochemical Society 1399 2015/05

  117. Atomically flattening of Si surface of silicon on insulator and isolation-patterned wafers Peer-reviewed

    Tetsuya Goto, Rihito Kuroda, Naoya Akagawa, Tomoyuki Suwa, Akinobu Teramoto, Xiang Li, Toshiki Obara, Daiki Kimoto, Shigetoshi Sugawa, Tadahiro Ohmi, Yutaka Kamata, Yuki Kumagai, Katsuhiko Shibusawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 54 (4) 04DA04-1-04DA04-7 2015/04

    DOI: 10.7567/JJAP.54.04DA04  

    ISSN: 0021-4922

    eISSN: 1347-4065

  118. Analysis of breakdown voltage of area surrounded by multiple trench gaps in 4 kV monolithic isolator for communication network interface Peer-reviewed

    Yusuke Takeuchi, Rihito Kuroda, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 54 (4) 04DB01-1-04DB01-5 2015/04

    DOI: 10.7567/JJAP.54.04DB01  

    ISSN: 0021-4922

    eISSN: 1347-4065

  119. Analysis of pixel gain and linearity of CMOS image sensor using floating capacitor load readout operation Peer-reviewed

    S. Wakashima, F. Kusuhara, R. Kuroda, S. Sugawa

    Proceedings of SPIE - The International Society for Optical Engineering 9403 94030E-1-94030E-10 2015

    DOI: 10.1117/12.2083111  

    ISSN: 0277-786X

    eISSN: 1996-756X

  120. UV/VIS/NIR imaging technologies: challenges and opportunities Peer-reviewed

    Rihito Kuroda, Shigetoshi Sugawa

    IMAGE SENSING TECHNOLOGIES: MATERIALS, DEVICES, SYSTEMS, AND APPLICATIONS II 9481 948108-1-948108-8 2015

    DOI: 10.1117/12.2180060  

    ISSN: 0277-786X

    eISSN: 1996-756X

  121. Low temperature atomically flattening of Si surface of shallow trench isolation pattern Peer-reviewed

    T. Goto, R. Kuroda, T. Suwa, A. Teramoto, N. Akagawa, D. Kimoto, S. Sugawa, T. Ohmi, Y. Kamata, Y. Kumagai, K. Shibusawa

    ECS Transactions 66 (5) 285-292 2015

    Publisher: Electrochemical Society Inc.

    DOI: 10.1149/06605.0285ecst  

    ISSN: 1938-5862

    eISSN: 1938-6737

  122. Low temperature atomically flattening of Si surface of shallow trench isolation pattern Peer-reviewed

    T. Goto, R. Kuroda, T. Suwa, A. Teramoto, N. Akagawa, D. Kimoto, S. Sugawa, T. Ohmi, Y. Kamata, Y. Kumagai, K. Shibusawa

    ECS Transactions 66 (5) 285-292 2015

    Publisher: Electrochemical Society Inc.

    DOI: 10.1149/06605.0285ecst  

    ISSN: 1938-6737 1938-5862

    eISSN: 1938-6737

  123. Effect of process temperature of Al<inf>2</inf>O<inf>3</inf> atomic layer deposition using accurate process gasses supply system Peer-reviewed

    H. Sugita, Y. Koda, T. Suwa, R. Kuroda, T. Goto, H. Ishii, S. Yamashita, A. Teramoto, S. Sugawa, T. Ohmi

    ECS Transactions 66 (4) 305-314 2015

    Publisher: Electrochemical Society Inc.

    DOI: 10.1149/06604.0305ecst  

    ISSN: 1938-6737

    eISSN: 1938-5862

  124. A Linear Response Single Exposure CMOS Image Sensor with 0.5e(-) Readout Noise and 76ke(-) Full Well Capacity Peer-reviewed

    Shunichi Wakashima, Fumiaki Kusuhara, Rihito Kuroda, Shigetoshi Sugawa

    2015 SYMPOSIUM ON VLSI CIRCUITS (VLSI CIRCUITS) 2015-August C88-C89 2015

    DOI: 10.1109/VLSIC.2015.7231334  

  125. An Ultraviolet Radiation Sensor Using Differential Spectral Response of Silicon Photodiodes Peer-reviewed

    Yhang Ricardo Sipauba Carvalho da Silva, Yasumasa Koda, Satoshi Nasuno, Rihito Kuroda, Shigetoshi Sugawa

    2015 IEEE SENSORS 1847-1850 2015

    DOI: 10.1109/ICSENS.2015.7370656  

    ISSN: 1930-0395

  126. High quantum efficiency 200-1000 nm spectral response photodiodes with on-chip multiple high transmittance optical layers Peer-reviewed

    Yasumasa Koda, Rihito Kuroda, Shigetoshi Sugawa

    Proceedings of IEEE Sensors 2014-December (December) 1664-1667 2014/12/12

    Publisher: Institute of Electrical and Electronics Engineers Inc.

    DOI: 10.1109/ICSENS.2014.6985340  

    ISSN: 1930-0395

    eISSN: 2168-9229

  127. Wide spectral response and highly robust Si image sensor technology Invited Peer-reviewed

    Rihito Kuroda, Shigetoshi Sugawa

    2nd Asian Image Sensor and Imaging System Symposium 7-8 2014/12

  128. Atomically Flattening of Si Surface of SOI and Isolation-patterned Wafers Peer-reviewed

    T. Goto, R. Kuroda, N. Akagawa, T. Suwa, A. Teramoto, X. Li, S. Sugawa, T. Ohmi, Y. Kumagai, Y. Kamata, T. Shibusawa

    Extended Abstracts of the 2014 International Conference on Solid State Devices and Materials 670-671 2014/09

  129. Analysis of the breakdown voltage of an area surrounded by the multi-trench gaps Peer-reviewed

    Yusuke Takeuchi, Rihito Kuroda, Shigetoshi Sugawa

    Extended Abstracts of the 2014 International Conference on Solid State Devices and Materials 732-733 2014/09

  130. A wide dynamic range CMOS image sensor with 200-1100 nm spectral sensitivity and high robustness to UV right exposure (vol 53, 04EE07, 2014) Peer-reviewed

    Satoshi Nasuno, Shun Kawada, Yasumasa Koda, Taiki Nakazawa, Katsuhiko Hanzawa, Rihito Kuroda, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 53 (6) 2014/06

    DOI: 10.7567/JJAP.53.069204  

    ISSN: 0021-4922

    eISSN: 1347-4065

  131. A CMOS image sensor using column-parallel forward noise-canceling circuitry Peer-reviewed

    Tsung-Ling Li, Shunichi Wakashima, Yasuyuki Goda, Rihito Kuroda, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 53 (4) 874-875 2014/04

    DOI: 10.7567/JJAP.53.04EE14  

    ISSN: 0021-4922

    eISSN: 1347-4065

  132. A wide dynamic range CMOS image sensor with 200-1100 nm spectral sensitivity and high robustness to UV right exposure Peer-reviewed

    Satoshi Nasuno, Shun Kawada, Yasumasa Koda, Taiki Nakazawa, Katsuhiko Hanzawa, Rihito Kuroda, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 53 (4) 878-879 2014/04

    DOI: 10.7567/JJAP.53.04EE07  

    ISSN: 0021-4922

    eISSN: 1347-4065

  133. Carrier mobility characteristics of (100), (110), and (551) oriented atomically flattened Si surfaces for fin structure design of multi-gate metal-insulator-silicon field-effect transistors Peer-reviewed

    Rihito Kuroda, Yukihisa Nakao, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 53 (4) 04EC04-1-04EC04-7 2014/04

    DOI: 10.7567/JJAP.53.04EC04  

    ISSN: 0021-4922

    eISSN: 1347-4065

  134. Extraction of time constants ratio over nine orders of magnitude for understanding random telegraph noise in metal-oxide-semiconductor field-effect transistors Peer-reviewed

    Toshiki Obara, Akihiro Yonezawa, Akinobu Teramoto, Rihito Kuroda, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 53 (4) 04EC19-1-04EC19-7 2014/04

    DOI: 10.7567/JJAP.53.04EC19  

    ISSN: 0021-4922

    eISSN: 1347-4065

  135. A Statistical evaluation of effective time constants of random telegraph noise with various operation timings of in-pixel source follower transistors

    A. Yonezawa, R. Kuroda, A. Teramoto, T. Obara, S. Sugawa

    IMAGE SENSORS AND IMAGING SYSTEMS 2014 9022 2014

    DOI: 10.1117/12.2041090  

    ISSN: 0277-786X

  136. A 1024x1 Linear Photodiode Array Sensor with Fast Readout Speed Flexible Pixel-level Integration Time and High Stability to UV Light Exposure Peer-reviewed

    Takahiro Akutsu, Shun Kawada, Yasumasa Koda, Taiki Nakazawa, Rihito Kuroda, Shigetoshi Sugawa

    IMAGE SENSORS AND IMAGING SYSTEMS 2014 9022 2014

    DOI: 10.1117/12.2040764  

    ISSN: 0277-786X

    eISSN: 1996-756X

  137. Pixel structure with 10 nsec fully charge transfer time for the 20m frame per second burst CMOS image sensor Peer-reviewed

    K. Miyauchi, Tohru Takeda, K. Hanzawa, Y. Tochigi, S. Sakai, R. Kuroda, H. Tominaga, R. Hirose, K. Takubo, Y. Kondo, S. Sugawa

    Proceedings of SPIE - The International Society for Optical Engineering 9022 902203-1-902203-12 2014

    DOI: 10.1117/12.2042373  

    ISSN: 0277-786X

    eISSN: 1996-756X

  138. Ultra-high speed video capturing of time dependent dielectric breakdown of metal-oxide-silicon capacitor up to 10M frame per second Peer-reviewed

    F. Shao, D. Kimoto, K. Furukawa, H. Sugo, T. Takeda, K. Miyauchi, Y. Tochigi, R. Kuroda, S. Sugawa

    Proceedings of SPIE - The International Society for Optical Engineering 9022 902205-1-902205-9 2014

    DOI: 10.1117/12.2040859  

    ISSN: 0277-786X

    eISSN: 1996-756X

  139. A statistical evaluation of effective time constants of random telegraph noise with various operation timings of in-pixel source follower transistors Peer-reviewed

    A. Yonezawa, R. Kuroda, A. Teramoto, T. Obara, S. Sugawa

    Proceedings of SPIE - The International Society for Optical Engineering 9022 90220F-1-90220F-9 2014

    DOI: 10.1117/12.2041090  

    ISSN: 0277-786X

    eISSN: 1996-756X

  140. A 1024×1 linear photodiode array sensor with fast readout speed flexible pixel-level integration time and high stability to UV light exposure Peer-reviewed

    Takahiro Akutsu, Shun Kawada, Yasumasa Koda, Taiki Nakazawa, Rihito Kuroda, Shigetoshi Sugawa

    Proceedings of SPIE - The International Society for Optical Engineering 9022 90220L-1-90220L-8 2014

    DOI: 10.1117/12.2040764  

    ISSN: 0277-786X

    eISSN: 1996-756X

  141. Erratum: A wide dynamic range CMOS image sensor with 200-1100nm spectral sensitivity and high robustness to UV right exposure (Japanese Journal of Applied Physics (2014) 53 (04EE07) Peer-reviewed

    Nasuno, S., Kawada, S., Koda, Y., Nakazawa, T., Hanzawa, K., Kuroda, R., Sugawa, S.

    Japanese Journal of Applied Physics 53 (6) 04EE07-1-04EE07-4 2014

    DOI: 10.7567/JJAP.53.069204  

    ISSN: 0021-4922

    eISSN: 1347-4065

  142. A CMOS image sensor using column-parallel forward noise-canceling circuitry Peer-reviewed

    Li, T.-L., Wakashima, S., Goda, Y., Kuroda, R., Sugawa, S.

    Japanese Journal of Applied Physics 53 (4 SPEC. ISSUE) 04EE14-1-04EE14-6 2014

    DOI: 10.7567/JJAP.53.04EE14  

    ISSN: 0021-4922

    eISSN: 1347-4065

  143. A Highly Ultraviolet Light Sensitive and Highly Robust Image Sensor Technology Based on Flattened Si Surface Peer-reviewed

    Rihito Kuroda, Shun Kawada, Satoshi Nasuno, Taiki Nakazawa, Yasumasa Koda, Katsuhiko Hanzawa, Shigetoshi Sugawa

    ITE Transactions on Media Technology and Applications 2 (2) 123-130 2014

    Publisher: Institute of Image Information and Television Engineers

    DOI: 10.3169/mta.2.123  

    ISSN: 2186-7364

    eISSN: 2186-7364

  144. High Selectivity in Dry Etching of Silicon Nitride over Si Using a Novel Hydrofluorocarbon Etch Gas in a Microwave Excited Plasma for FinFET Peer-reviewed

    Y. Nakao, T. Matsuo, A. Teramoto, H. Utsumi, K. Hashimoto, R. Kuroda, Y. Shirai, S. Sugawa, T. Ohmi

    SILICON COMPATIBLE MATERIALS, PROCESSES, AND TECHNOLOGIES FOR ADVANCED INTEGRATED CIRCUITS AND EMERGING APPLICATIONS 4 61 (3) 29-37 2014

    DOI: 10.1149/06103.0029ecst  

    ISSN: 1938-5862

  145. Si image sensors with wide spectral response and high robustness to ultraviolet light exposure Peer-reviewed

    Rihito Kuroda, Shigetoshi Sugawa

    IEICE ELECTRONICS EXPRESS 11 (10) 1-16 2014

    DOI: 10.1587/elex.11.20142004  

    ISSN: 1349-2543

  146. High selectivity in dry etching of silicon nitride over Si using a novel hydrofluorocarbon etch gas in a microwave excited plasma for FinFET Peer-reviewed

    Y. Nakao, T. Matsuo, A. Teramoto, H. Utsumi, K. Hashimoto, R. Kuroda, Y. Shirai, S. Sugawa, T. Ohmi

    ECS Transactions 61 (3) 29-37 2014

    DOI: 10.1149/06103.0029ecst  

    ISSN: 1938-5862

    eISSN: 1938-6737

  147. A Novel Analysis of Oxide Breakdown based on Dynamic Observation using Ultra-High Speed Video Capturing Up to 10,000,000 Frames Per Second Peer-reviewed

    Rihito Kuroda, Fan Shao, Daiki Kimoto, Kiichi Furukawa, Hidetake Sugo, Tohru Takeda, Ken Miyauchi, Yasuhisa Tochigi, Akinobu Teramoto, Shigetoshi Sugawa

    2014 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM 3F.3.1-3F.3.4 2014

    DOI: 10.1109/IRPS.2014.6860637  

    ISSN: 1541-7026

  148. Demonstrating individual leakage path from random telegraph signal of stress induced leakage current Peer-reviewed

    A. Teramoto, T. Inatsuka, T. Obara, N. Akagawa, R. Kuroda, S. Sugawa, T. Ohmi

    IEEE International Reliability Physics Symposium Proceedings GD.1.1-GD.1.5 2014

    DOI: 10.1109/IRPS.2014.6861144  

    ISSN: 1541-7026

  149. Analyzing Correlation between Multiple Traps in RTN Characteristics Peer-reviewed

    Toshiki Obara, Akinobu Teramoto, Akihiro Yonezawa, Rihito Kuroda, Shigetoshi Sugawa, Tadahiro Ohmi

    2014 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM 4A.6.1-4A.6.7 2014

    DOI: 10.1109/IRPS.2014.6860644  

    ISSN: 1541-7026

  150. A Statistical Evaluation of Random Telegraph Noise of In-Pixel Source Follower Equivalent Surface and Buried Channel Transistors Peer-reviewed

    Rihito Kuroda, Akihiro Yonezawa, Akinobu Teramoto, Tsung-Ling Li, Yasuhisa Tochigi, Shigetoshi Sugawa

    IEEE TRANSACTIONS ON ELECTRON DEVICES 60 (10) 3555-3561 2013/10

    DOI: 10.1109/TED.2013.2278980  

    ISSN: 0018-9383

    eISSN: 1557-9646

  151. Highly UV-light sensitive and highly robust CMOS image sensor with 97dB wide dynaamic range and 200-1100 nm spectral sensitivity

    Satoshi Nasuno, Shun Kawada, Yasumasa Koda, Rihito Kuroda, Shigetoshi Sugawa

    Asian Symposium on Advanced Image Sensors and Imaging Systems 15-16 2013/10/01

  152. Extraction of Time Constants Ratio over Nine Orders of Magnitude for Understanding Random Telegraph Noise in MOSFETs Peer-reviewed

    T. Obara, A. Yonezawa, A. Teramoto, R. Kuroda, S. Sugawa, T. Ohmi

    Extended Abstracts of the 2013 International Conference on Solid State Devices and Materials 722-723 2013/09/25

  153. Ultra-high Speed Image Sensors for Scientific Imaging Invited Peer-reviewed

    Rihito Kuroda, Yasuhisa Tochigi, Ken Miyauchi, Tohru Takeda, Ryuta Hirose, Hideki Tominaga, Kenji Takubo, Yasushi Kondo, Shigetoshi Sugawa

    Extended Abstracts of the 2013 International Conference on Solid State Devices and Materials 872-873 2013/09/25

  154. Carrier Mobility on (100), (110), and (551) Oriented Atomically Flattened Si Surfaces for Multi-gate MOSFETs Device Design Peer-reviewed

    Rihito Kuroda, Yukihisa Nakao, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    Extended Abstracts of the 2013 International Conference on Solid State Devices and Materials 702-703 2013/09/25

  155. Stress induced leakage current generated by hot-hole injection Peer-reviewed

    Akinobu Teramoto, Hyeonwoo Park, Takuya Inatsuka, Rihito Kuroda, Shigetoshi Sugawa, Tadahiro Ohmi

    MICROELECTRONIC ENGINEERING 109 298-301 2013/09

    DOI: 10.1016/j.mee.2013.03.116  

    ISSN: 0167-9317

    eISSN: 1873-5568

  156. A Test Circuit for Extremely Low Gate Leakage Current Measurement of 10 aA for 80 000 MOSFETs in 80 s Peer-reviewed

    Takuya Inatsuka, Yuki Kumagai, Rihito Kuroda, Akinobu Teramoto, Tomoyuki Suwa, Shigetoshi Sugawa, Tadahiro Ohmi

    IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING 26 (3) 288-295 2013/08

    DOI: 10.1109/TSM.2013.2260568  

    ISSN: 0894-6507

    eISSN: 1558-2345

  157. A FSI CMOS Image Sensor with 200-1000 nm Spectral Response Peer-reviewed

    Rihito Kuroda, Shun Kawada, Satoshi Nasuno, Taiki Nakazawa, Yasumasa Koda, Katsuhiko Hanzawa, Shigetoshi Sugawa

    2013 International Image Sensor Workshop 61-64 2013/06

  158. Stress induced leakage current generated by hot-hole injection Peer-reviewed

    A. Teramoto, H.W. Park, T. Inatsuka, R. Kuroda, S. Sugawa, T. Ohmi

    18th Conference of “Insulating Films on Semiconductors” (infos2013) Book of Abstracts 156-157 2013/06

  159. Impact of Injected Carrier Types to Stress Induced Leakage Current Using Substrate Hot Carrier Injection Stress Peer-reviewed

    H. W. Park, A. Teramoto, T. Inatsuka, R. Kuroda, S. Sugawa, T. Ohmi

    2013 Asia-Pacific Workshop on Fundamentals and Application of Advanced Semiconductor Devices (AWAD 2013) 75-78 2013/06

  160. A 2.8 μm Pixel-Pitch 55 ke

    Sakai Shin, Tashiro Yoshiaki, Kuroda Rihito, Sugawa Shigetoshi

    Jpn J Appl Phys 52 (4) 04CE01-04CE01-5 2013/04/25

    Publisher: The Japan Society of Applied Physics

    DOI: 10.7567/JJAP.52.04CE01  

    ISSN: 0021-4922

    More details Close

    In this paper, a global-shutter complementary metal oxide semiconductor (CMOS) image sensor using lateral overflow integration capacitor (LOFIC) in each pixel without trade-offs between full-well capacity (FWC) and dark current and between FWC and pixel size has been demonstrated. Because the FWC is determined only by LOFIC, a photodiode (PD) and storage diffusion capacitor (SD) are designed focusing on achieving low dark current performance especially. A 2.8 μm pixel pitch Bayer-RGB color CMOS image sensor with the pinned diffusion capacitor for the storage node was fabricated and achieved both 83.3 e-/s at the PD and 58.3 e-/s at the SD dark current at 60 °C and about 55 ke-full well capacity. A high resolution performance, a high FWC performance and a low dark current performance were simultaneously achieved in this image sensor.

  161. A 2.8 mu m Pixel-Pitch 55 ke(-) Full-Well Capacity Global-Shutter Complementary Metal Oxide Semiconductor Image Sensor Using Lateral Overflow Integration Capacitor Peer-reviewed

    Shin Sakai, Yoshiaki Tashiro, Rihito Kuroda, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 52 (4) 1109-1110 2013/04

    DOI: 10.7567/JJAP.52.04CE01  

    ISSN: 0021-4922

    eISSN: 1347-4065

  162. A 2.8 μm pixel-pitch 55- ke full-well capacity global-shutter complementary metal oxide semiconductor image sensor using lateral overflow integration capacitor Peer-reviewed

    Shin Sakai, Yoshiaki Tashiro, Rihito Kuroda, Shigetoshi Sugawa

    Japanese Journal of Applied Physics 52 (4 PART 2) 04CE01-1-04CE01-5 2013/04

    DOI: 10.7567/JJAP.52.04CE01  

    ISSN: 0021-4922

    eISSN: 1347-4065

  163. A Column-Parallel Hybrid Analog-to-Digital Converter Using Successive-Approximation-Register and Single-Slope Architectures with Error Correction for Complementary Metal Oxide Silicon Image Sensors Peer-reviewed

    Tsung-Ling Li, Shin Sakai, Shun Kawada, Yasuyuki Goda, Shunichi Wakashima, Rihito Kuroda, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 52 (4) 04CE04-1-04CE04-7 2013/04

    DOI: 10.7567/JJAP.52.04CE04  

    ISSN: 0021-4922

    eISSN: 1347-4065

  164. A Global-Shutter CMOS Image Sensor With Readout Speed of 1-Tpixel/s Burst and 780-Mpixel/s Continuous Peer-reviewed

    Yasuhisa Tochigi, Katsuhiko Hanzawa, Yuri Kato, Rihito Kuroda, Hideki Mutoh, Ryuta Hirose, Hideki Tominaga, Kenji Takubo, Yasushi Kondo, Shigetoshi Sugawa

    IEEE JOURNAL OF SOLID-STATE CIRCUITS 48 (1) 329-338 2013/01

    DOI: 10.1109/JSSC.2012.2219685  

    ISSN: 0018-9200

    eISSN: 1558-173X

  165. Color reproductivity improvement with additional virtual color filters for WRGB image sensor Peer-reviewed

    Shun Kawada, Rihito Kuroda, Shigetoshi Sugawa

    COLOR IMAGING XVIII: DISPLAYING, PROCESSING, HARDCOPY, AND APPLICATIONS 8652 865205-1-865205-7 2013

    DOI: 10.1117/12.2003320  

    ISSN: 0277-786X

  166. A statistical evaluation of low frequency noise of in-pixel source follower-equivalent transistors with various channel types and body bias Peer-reviewed

    R. Kuroda, A. Yonezawa, A. Teramoto, T. L. Li, Y. Tochigi, S. Sugawa

    Proceedings of SPIE - The International Society for Optical Engineering 8659 86590D-1-86590D-9 2013

    DOI: 10.1117/12.2005759  

    ISSN: 0277-786X

  167. New Analog Readout Architecture for Low Noise CMOS Image Sensors Using Column-Parallel Forward Noise-Canceling Circuitry Peer-reviewed

    Tsung-Ling Li, Yasuyuki Goda, Shunichi Wakashima, Rihito Kuroda, Shigetoshi Sugawa

    SENSORS, CAMERAS, AND SYSTEMS FOR INDUSTRIAL AND SCIENTIFIC APPLICATIONS XIV 8659 86590E-1-86590E-9 2013

    DOI: 10.1117/12.2003741  

    ISSN: 0277-786X

    eISSN: 1996-756X

  168. A CMOS image sensor using floating capacitor load readout operation Peer-reviewed

    S. Wakashima, Y. Goda, T. L. Li, R. Kuroda, S. Sugawa

    Proceedings of SPIE - The International Society for Optical Engineering 8659 86590I-1-86590I-9 2013

    DOI: 10.1117/12.2004892  

    ISSN: 0277-786X

  169. A UV Si-photodiode with almost 100% internal Q.E. and high transmittance on-chip multilayer dielectric stack Peer-reviewed

    Y. Koda, R. Kuroda, T. Nakazawa, Y. Nakao, S. Sugawa

    Proceedings of SPIE - The International Society for Optical Engineering 8659 86590J-1-86590J-6 2013

    DOI: 10.1117/12.2005574  

    ISSN: 0277-786X

  170. The study of time constant analysis in random telegraph noise at the subthreshold voltage region Peer-reviewed

    A. Yonezawa, A. Teramoto, T. Obara, R. Kuroda, S. Sugawa, T. Ohmi

    IEEE International Reliability Physics Symposium Proceedings XT.11.1 2013

    DOI: 10.1109/IRPS.2013.6532126  

    ISSN: 1541-7026

  171. Demonstrating Distribution of SILC Values at Individual Leakage Spots Peer-reviewed

    Takuya Inatsuka, Rihito Kuroda, Akinobu Teramoto, Yuki Kumagai, Shigetoshi Sugawa, Tadahiro Ohmi

    2013 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM (IRPS) GD.5.1 2013

    DOI: 10.1109/IRPS.2013.6532088  

    ISSN: 1541-7026

  172. A Column-Parallel Hybrid ADC using SAR and Single-Slope with Error Correction for CMOS Image Sensors Peer-reviewed

    Tsung-Ling Li, Shin Sakai, Shun Kawada, Yasuyuki Goda, Shunichi Wakashima, Rihito Kuroda, Shigetoshi Sugawa

    2012 International Conference on Solid State Devices and Materials 1113-1114 2012/09

  173. A Test Circuit for Statistical Evaluation of p-n Junction Leakage Current and Its Noise Peer-reviewed

    Kenichi Abe, Takafumi Fujisawa, Hiroyoshi Suzuki, Shunichi Watabe, Rihito Kuroda, Shigetoshi Sugawa, Akinobu Teramoto, Tadahiro Ohmi

    IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING 25 (3) 303-309 2012/08

    DOI: 10.1109/TSM.2012.2202751  

    ISSN: 0894-6507

    eISSN: 1558-2345

  174. 100nm-gate-length Normally-off Accumulation-Mode FD-SOI MOSFETs for Low Noise Analog/RF Circuits Peer-reviewed

    Hidetoshi Utsumi, Ryohei Kasahara, Yukihisa Nakao, Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    2012 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2012) 56-61 2012/06

  175. Cu Single Damascene Integration of an Organic Nonporous Ultralow-k Fluorocarbon Dielectric Deposited by Microwave-Excited Plasma-Enhanced CVD Peer-reviewed

    Xun Gu, Takenao Nemoto, Yugo Tomita, Akinobu Teramoto, Rihito Kuroda, Shin-Ichiro Kuroki, Kazumasa Kawase, Shigetoshi Sugawa, Tadahiro Ohmi

    IEEE TRANSACTIONS ON ELECTRON DEVICES 59 (5) 1445-1453 2012/05

    DOI: 10.1109/TED.2012.2187659  

    ISSN: 0018-9383

    eISSN: 1557-9646

  176. Integration Process Development for Improved Compatibility with Organic Non-Porous Ultralow-k Dielectric Fluorocarbon on Advanced Cu Interconnects Peer-reviewed

    Xun Gu, Yugo Tomita, Takenao Nemoto, Kotaro Miyatani, Akane Saito, Yasuo Kobayashi, Akinobu Teramoto, Rihito Kuroda, Shin-Ichiro Kuroki, Kazumasa Kawase, Toshihisa Nozawa, Takaaki Matsuoka, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 51 (5) 05EC03-1-05EC03-6 2012/05

    DOI: 10.1143/JJAP.51.05EC03  

    ISSN: 0021-4922

    eISSN: 1347-4065

  177. Recovery Characteristics of Anomalous Stress-Induced Leakage Current of 5.6nm Oxide Films Peer-reviewed

    Takuya Inatsuka, Yuki Kumagai, Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 51 (4) 04DC02-1-04DC02-6 2012/04

    DOI: 10.1143/JJAP.51.04DC02  

    ISSN: 0021-4922

    eISSN: 1347-4065

  178. On the Interface Flattening Effect and Gate Insulator Breakdown Characteristic of Radical Reaction Based Insulator Formation Technology Peer-reviewed

    Rihito Kuroda, Akinobu Teramoto, Xiang Li, Tomoyuki Suwa, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 51 (2) 02BA01-1-02BA01-6 2012/02

    DOI: 10.1143/JJAP.51.02BA01  

    ISSN: 0021-4922

    eISSN: 1347-4065

  179. Advanced Direct-Polishing Process Development of Non-Porous Ultralow-k Dielectric Fluorocarbon with Plasma Treatment on Cu Interconnects Peer-reviewed

    Xun Gu, Takenao Nemoto, Yugo Tomita, Akinobu Teramoto, Rihito Kuroda, Shigetoshi Sugawa, Tadahiro Ohmi

    JOURNAL OF THE ELECTROCHEMICAL SOCIETY 159 (4) H407-H411 2012

    DOI: 10.1149/2.049204jes  

    ISSN: 0013-4651

    eISSN: 1945-7111

  180. Photodiode dopant structure with atomically flat Si surface for high-sensitivity and stability to UV light Peer-reviewed

    Taiki Nakazawa, Rihito Kuroda, Yasumasa Koda, Shigetoshi Sugawa

    SENSORS, CAMERAS, AND SYSTEMS FOR INDUSTRIAL AND SCIENTIFIC APPLICATIONS XIII 8298 82980M-1-82980M-8 2012

    DOI: 10.1117/12.907727  

    ISSN: 0277-786X

    eISSN: 1996-756X

  181. A global-shutter CMOS image sensor with readout speed of 1Tpixel/s burst and 780Mpixel/s continuous Peer-reviewed

    Yasuhisa Tochigi, Katsuhiko Hanzawa, Yuri Kato, Rihito Kuroda, Hideki Mutoh, Ryuta Hirose, Hideki Tominaga, Kenji Takubo, Yasushi Kondo, Shigetoshi Sugawa

    Digest of Technical Papers - IEEE International Solid-State Circuits Conference 55 382-383 2012

    Publisher: IEEE

    DOI: 10.1109/ISSCC.2012.6177046  

    ISSN: 0193-6530

  182. A test circuit for extremely low gate leakage current measurement of 10 aA for 80,000 MOSFETs in 80 s Peer-reviewed

    Y. Kumagai, T. Inatsuka, R. Kuroda, A. Teramoto, T. Suwa, S. Sugawa, T. Ohmi

    IEEE International Conference on Microelectronic Test Structures 131-136 2012

    DOI: 10.1109/ICMTS.2012.6190631  

    ISSN: 1071-9032

  183. Statistical analysis of random telegraph noise reduction effect by separating channel from the interface Peer-reviewed

    A. Yonezawa, A. Teramoto, R. Kuroda, H. Suzuki, S. Sugawa, T. Ohmi

    IEEE International Reliability Physics Symposium Proceedings 3B.5.1-3B.5.7 2012

    DOI: 10.1109/IRPS.2012.6241809  

    ISSN: 1541-7026

  184. Electrical Properties of Silicon Nitride Using High Density and Low Plasma Damage PECVD Formed at 400 degrees C Peer-reviewed

    Y. Nakao, A. Teramoto, T. Watanabe, R. Kuroda, T. Suwa, S. Sugawa, T. Ohmi

    DIELECTRICS FOR NANOSYSTEMS 5: MATERIALS SCIENCE, PROCESSING, RELIABILITY, AND MANUFACTURING -AND-TUTORIALS IN NANOTECHNOLOGY: MORE THAN MOORE - BEYOND CMOS EMERGING MATERIALS AND DEVICES 45 (3) 421-428 2012

    DOI: 10.1149/1.3700907  

    ISSN: 1938-5862

  185. Electrical properties of silicon nitride using high density and low plasma damage PECVD formed at 400°C Peer-reviewed

    Y. Nakao, A. Teramoto, T. Watanabe, R. Kuroda, T. Suwa, S. Sugawa, T. Ohmi

    ECS Transactions 45 (3) 421-428 2012

    DOI: 10.1149/1.3700907  

    ISSN: 1938-5862

    eISSN: 1938-6737

  186. A novel chemically, thermally and electrically robust Cu interconnect structure with an organic non-porous ultralow-k dielectric fluorocarbon (k=2.2) Peer-reviewed

    X. Gu, A. Teramoto, R. Kuroda, Y. Tomita, T. Nemoto, S. Kuroki, S. Sugawa, T. Ohmi

    Digest of Technical Papers - Symposium on VLSI Technology 119-120 2012

    DOI: 10.1109/VLSIT.2012.6242490  

    ISSN: 0743-1562

  187. Development of Direct-polish Process of CMP and Post-CMP Clean for Next Generation Advanced Cu Interconnects Peer-reviewed

    Xun Gu, Yugo Tomita, Takenao Nemoto, Akinobu Teramoto, Ricardo Duyos Mateo, Takeshi Sakai, Rihito Kuroda, Shin-Ichiro Kuroki, Shigetoshi Sugawa, Tadahiro Ohmi

    International Conference on Planarization&CMP 150-157 2011/11

  188. Formation speed of atomically flat surface on Si (100) in ultra-pure argon Peer-reviewed

    Xiang Li, Akinobu Teramoto, Tomoyuki Suwa, Rihito Kuroda, Shigetoshi Sugawa, Tadahiro Ohmi

    MICROELECTRONIC ENGINEERING 88 (10) 3133-3139 2011/10

    DOI: 10.1016/j.mee.2011.06.014  

    ISSN: 0167-9317

    eISSN: 1873-5568

  189. Highly Reliable Radical SiO2 Films on Atomically Flat Silicon Surface Formed by Low Temperature Pure Ar Annealing Peer-reviewed

    Xiang Li, Rihito Kuroda, Tomoyuki Suwa, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 50 (10) 10PB05-1-10PB05-7 2011/10

    DOI: 10.1143/JJAP.50.10PB05  

    ISSN: 0021-4922

    eISSN: 1347-4065

  190. Evaluation for Anomalous Stress-Induced Leakage Current of Gate SiO2 Films Using Array Test Pattern Peer-reviewed

    Yuki Kumagai, Akinobu Teramoto, Takuya Inatsuka, Rihito Kuroda, Tomoyuki Suwa, Shigetoshi Sugawa, Tadahiro Ohmi

    IEEE TRANSACTIONS ON ELECTRON DEVICES 58 (10) 3307-3313 2011/10

    DOI: 10.1109/TED.2011.2161991  

    ISSN: 0018-9383

    eISSN: 1557-9646

  191. Large-Scale Test Circuits for High-Speed and Highly Accurate Evaluation of Variability and Noise in Metal-Oxide-Semiconductor Field-Effect Transistor Electrical Characteristics Peer-reviewed

    Yuki Kumagai, Kenichi Abe, Takafumi Fujisawa, Shunichi Watabe, Rihito Kuroda, Naoto Miyamoto, Tomoyuki Suwa, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 50 (10) 106701-1-106701-11 2011/10

    DOI: 10.1143/JJAP.50.106701  

    ISSN: 0021-4922

    eISSN: 1347-4065

  192. Recovery Characteristic of Anomalous Stress Induced Leakage Current of 5.6nm Oxide Films Peer-reviewed

    T. Inatsuka, Y. Kumagai, R. Kuroda, A. Teramoto, S. Sugawa, T. Ohmi

    2011 International Conference on SOLID STATE DEVICES AND MATERIALS 841-842 2011/09

  193. Impact of Random Telegraph Noise Reduction with Buried Channel MOSFET Peer-reviewed

    Hiroyoshi Suzuki, Rihito Kuroda, Akinobu Teramoto, Akihiro Yonezawa, Shigetoshi Sugawa, Tadahiro Ohmi

    2011 International Conference on SOLID STATE DEVICES AND MATERIALS 851-852 2011/09

  194. On the Si Surface Flattening Effect and Gate Insulator Breakdown Characteristic of Radical Reaction Based Insulator Formation Technology Peer-reviewed

    Rihito Kuroda, Akinobu Teramoto, Xiang Li, Tomoyuki Suwa, Shigetoshi Sugawa, Tadahiro Ohmi

    2011 International Conference on SOLID STATE DEVICES AND MATERIALS 903-904 2011/09

  195. High Quality and Low Thermal Budget Silicon Nitride Deposition Using PECVD for Gate Spacer, Silicide Block and Contact Etch Stopper Peer-reviewed

    Y. Nakao, R. Kuroda, H. Tanaka, A. Teramoto, S. Sugawa, T. Ohmi

    2011 International Conference on SOLID STATE DEVICES AND MATERIALS 905-906 2011/09

  196. Science-based New Silicon Technologies Exhibiting Super High Performance due to Radical-reaction-based Semiconductor Manufacturing Peer-reviewed

    Tadahiro Ohmi, Hiroaki Tanaka, Tomoyuki Suwa, Xiang Li, Rihito Kuroda

    JOURNAL OF THE KOREAN PHYSICAL SOCIETY 59 (2) 391-401 2011/08

    DOI: 10.3938/jkps.59.391  

    ISSN: 0374-4884

    eISSN: 1976-8524

  197. Highly Ultraviolet Light Sensitive and Highly Reliable Photodiode with Atomically Flat Si Surface Peer-reviewed

    Rihito Kuroda, Taiki Nakazawa, Katsuhiko Hanzawa, Shigetoshi Sugawa

    2011 International Image Sensor Workshop 38-41 2011/06

  198. Impact of Channel Direction Dependent Low Field Hole Mobility on (100) Orientation Silicon Surface Peer-reviewed

    Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 50 (4) 04DC03-1-04DC03-6 2011/04

    DOI: 10.1143/JJAP.50.04DC03  

    ISSN: 0021-4922

    eISSN: 1347-4065

  199. Analysis of the Low-Frequency Noise Reduction in Si(100) Metal-Oxide-Semiconductor Field-Effect Transistors Peer-reviewed

    Philippe Gaubert, Akinobu Teramoto, Rihito Kuroda, Yukihisa Nakao, Hiroaki Tanaka, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 50 (4) 04DC01-1-04DC01-6 2011/04

    DOI: 10.1143/JJAP.50.04DC01  

    ISSN: 0021-4922

    eISSN: 1347-4065

  200. A prototype high-speed CMOS image sensor with 10,000,000 fps burst-frame rate and 10,000 fps continuous-frame rate

    Yasuhisa Tochigi, Katsuhiko Hanzawa, Yuri Kato, Nana Akahane, Rihito Kuroda, Shigetoshi Sugawa

    DIGITAL PHOTOGRAPHY VII 7876 2011

    DOI: 10.1117/12.872207  

    ISSN: 0277-786X

  201. Gate SiO2 Film Integrity on Ultra-Pure Argon Anneal (100) Silicon Surface

    Akinobu Teramoto, Xiang Li, Rihito Kuroda, Tomoyuki Suwa, Shigetoshi Sugawa, Tadahiro Ohmi

    ULSI PROCESS INTEGRATION 7 41 (7) 147-156 2011

    DOI: 10.1149/1.3633294  

    ISSN: 1938-5862

  202. Different Properties of Erbium Silicides on Si(100) and Si(551) Orientation Surfaces Peer-reviewed

    Hiroaki Tanaka, Akinobu Teramoto, Rihito Kuroda, Yukihisa Nakao, Tomoyuki Suwa, Kazumasa Kawase, Shigetoshi Sugawa, Tadahiro Ohmi

    ULSI PROCESS INTEGRATION 7 41 (7) 365-373 2011

    DOI: 10.1149/1.3633317  

    ISSN: 1938-5862

    eISSN: 1938-6737

  203. High reliable SiO2 Films on Atomically Flat Silicon Surface Formed by Low Temperature Pure Ar Annealing Peer-reviewed

    X. Li, R. Kuroda, T. Suwa, A. Teramoto, S. Sugawa, T. Ohmi

    Extend Abstracts of 2011 International Workshop on Dielectric Thin Films For Future Electron Devices: Science and Technology (IWDTF) 107-108 2011/01

  204. A robust color signal processing with wide dynamic range WRGB CMOS image sensor Peer-reviewed

    Shun Kawada, Rihito Kuroda, Shigetoshi Sugawa

    DIGITAL PHOTOGRAPHY VII 7876 78760W-1-78760W-8 2011

    DOI: 10.1117/12.872285  

    ISSN: 0277-786X

  205. A prototype high-speed CMOS image sensor with 10,000,000 fps burst-frame rate and 10,000 fps continuous-frame rate Peer-reviewed

    Yasuhisa Tochigi, Katsuhiko Hanzawa, Yuri Kato, Nana Akahane, Rihito Kuroda, Shigetoshi Sugawa

    DIGITAL PHOTOGRAPHY VII 7876 78760G-1-78760G-8 2011

    DOI: 10.1117/12.872207  

    ISSN: 0277-786X

  206. Visualization of Single Atomic Steps on An Ultra-Flat Si(100) Surface by Advanced Differential Interference Contrast Microscopy Peer-reviewed

    Shin-Ichiro Kobayashi, Youn-Geun Kim, Rui Wen, Kohei Yasuda, Hirokazu Fukidome, Tomoyuki Suwa, Rihito Kuroda, Xiang Li, Akinobu Teramoto, Tadahiro Ohmi, Kingo Itaya

    ELECTROCHEMICAL AND SOLID STATE LETTERS 14 (9) H351-H353 2011

    DOI: 10.1149/1.3597657  

    ISSN: 1099-0062

    eISSN: 1944-8775

  207. Gate SiO2 Film Integrity on Ultra-Pure Argon Anneal (100) Silicon Surface Peer-reviewed

    Akinobu Teramoto, Xiang Li, Rihito Kuroda, Tomoyuki Suwa, Shigetoshi Sugawa, Tadahiro Ohmi

    ULSI PROCESS INTEGRATION 7 41 (7) 147-156 2011

    DOI: 10.1149/1.3633294  

    ISSN: 1938-5862

    eISSN: 1938-6737

  208. Different Properties of Erbium Silicides on Si(100) and Si(551) Orientation Surfaces Peer-reviewed

    Hiroaki Tanaka, Akinobu Teramoto, Rihito Kuroda, Yukihisa Nakao, Tomoyuki Suwa, Kazumasa Kawase, Shigetoshi Sugawa, Tadahiro Ohmi

    ULSI PROCESS INTEGRATION 7 41 (7) 365-373 2011

    DOI: 10.1149/1.3633317  

    ISSN: 1938-5862

    eISSN: 1938-6737

  209. Drastic reduction of the low frequency noise in Si(100) p-MOSFETs Peer-reviewed

    P. Gaubert, A. Teramoto, R. Kuroda, Y. Nakao, H. Tanaka, T. Ohmi

    2010 International Conference on SOLID STATE DEVICES AND MATERIALS 41-42 2010/09

  210. Impact of Channel Direction Dependent Low Field Hole Mobility on Si(100) Peer-reviewed

    Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    2010 International Conference on SOLID STATE DEVICES AND MATERIALS 51-52 2010/09

  211. Large Scale Test Circuits for Systematic Evaluation of Variability and Noise of MOSFETs’ Electrical Characteristics Peer-reviewed

    Y. Kumagai, K. Abe, T. Fujisawa, S. Watabe, R. Kuroda, N. Miyamoto, T. Suwa, A. Teramoto, S. Sugawa, T. Ohmi

    2010 International Conference on SOLID STATE DEVICES AND MATERIALS 804-805 2010/09

  212. High Integrity Gate Insulator Films on Atomically Flat Silicon Surface Peer-reviewed

    X. Li, R. Kuroda, T. Suwa, A. Teramoto, S. Sugawa, T. Ohmi

    2010 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices, IEICE Technical Report ED2010-93, SDM2010-94 110 (109) 183-188 2010/06

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    A low temperature atomically flattening technology for Si(100) wafer is developed. By annealing in ultra pure argon ambient at 800℃, atomically flat surfaces composed of atomic terraces and steps appear uniformly in the whole 200 mm wafer without generating slip line defects. Moreover, the whole 200 mm wafer surface can be atomically flattened in shorter time by increasing the argon gas flow rate and the annealing temperature of vertical furnace. Furthermore, the MOS capacitors with the atomically flat gate oxide/Si interface formed by radical oxidation on the flattened surface show superior insulating properties such as higher E_<bd> and Q_<bd>.

  213. High Current Drivability FD-SOI CMOS with Low Source/Drain Series Resistance Peer-reviewed

    Yukihisa NAKAO, Rihito KURODA, Hiroaki TANAKA, Akinobu TERAMOTO, Shigetoshi SUGAWA, Tadahiro OHMI

    2010 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices, IEICE Technical Report ED2010-119, SDM2010-120 110 (110) 303-308 2010/06

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    A high current drivability CMOS on Si(100) with a low Source/Drain series resistance is demonstrated using a fully-depleted silicon-on-insulator (FD-SOI) technology. The pMOS current drivability is improved by an introduction of the accumulation-mode device structure. Also, the contact resistivity as well as, the sheet resistance of the Source/Drain (S/D) electrodes are reduced to 6.9 x 10^<-9> Ω・cm^2 for n^+-Si and 8.0 x 10^<-10> Ω・cm^2 for p^+-Si and 5 Ω/sheet due to an introduction of work function optimized silicides for nMOS and pMOS, and a metal/silicide/Si stack structure, respectively. As a result, current drivability of 833 μA/μm and 661 μA/μm for nMOS and pMOS are obtained for around 100 nm gate length device size.

  214. Pixel Scaling in Complementary Metal Oxide Silicon Image Sensor with Lateral Overflow Integration Capacitor Peer-reviewed

    Shin Sakai, Yoshiaki Tashiro, Shun Kawada, Rihito Kuroda, Nana Akahane, Koichi Mizobuchi, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 49 (4) 04DE03 2010

    DOI: 10.1143/JJAP.49.04DE03  

    ISSN: 0021-4922

    eISSN: 1347-4065

  215. Atomically flattening technology at 850°C for Si(100) surface Peer-reviewed

    X. Li, T. Suwa, A. Teramoto, R. Kuroda, S. Sugawa, T. Ohmi

    ECS Transactions 28 (1) 299-309 2010

    DOI: 10.1149/1.3375615  

    ISSN: 1938-5862

    eISSN: 1938-6737

  216. Impact of Work Function Optimized S/D Silicide Contact for High Current Drivability CMOS Peer-reviewed

    Y. Nakao, R. Kuroda, H. Tanaka, T. Isogai, A. Teramoto, S. Sugawa, T. Ohmi

    ADVANCED GATE STACK, SOURCE/DRAIN, AND CHANNEL ENGINEERING FOR SI-BASED CMOS 6: NEW MATERIALS, PROCESSES, AND EQUIPMENT 28 (1) 315-324 2010

    DOI: 10.1149/1.3375617  

    ISSN: 1938-5862

  217. A Test Structure for Statistical Evaluation of pn Junction Leakage Current Based on CMOS Image Sensor Technology Peer-reviewed

    Kenichi Abe, Takafumi Fujisawa, Hiroyoshi Suzuki, Shunichi Watabe, Rihito Kuroda, Shigetoshi Sugawa, Akinobu Teramoto, Tadahiro Ohmi

    2010 INTERNATIONAL CONFERENCE ON MICROELECTRONIC TEST STRUCTURES, 23RD IEEE ICMTS CONFERENCE PROCEEDINGS 18-22 2010

    DOI: 10.1109/ICMTS.2010.5466868  

    ISSN: 1071-9032

  218. Impact of work function optimized S/D silicide contact for high current drivability CMOS Peer-reviewed

    Y. Nakao, R. Kuroda, H. Tanaka, T. Isogai, A. Teramoto, S. Sugawa, T. Ohmi

    ECS Transactions 28 (1) 315-324 2010

    DOI: 10.1149/1.3375617  

    ISSN: 1938-5862

    eISSN: 1938-6737

  219. Atomically Flattening Technology at 850 degrees C for Si(100) Surface Peer-reviewed

    X. Li, T. Suwa, A. Teramoto, R. Kuroda, S. Sugawa, T. Ohmi

    ADVANCED GATE STACK, SOURCE/DRAIN, AND CHANNEL ENGINEERING FOR SI-BASED CMOS 6: NEW MATERIALS, PROCESSES, AND EQUIPMENT 28 (1) 299-309 2010

    DOI: 10.1149/1.3375615  

    ISSN: 1938-5862

  220. Statistical Evaluation of Dynamic Junction Leakage Current Fluctuation Using a Simple Arrayed Capacitors Circuit Peer-reviewed

    Kenichi Abe, Takafumi Fujisawa, Hiroyoshi Suzuki, Shunichi Watabe, Rihito Kuroda, Shigetoshi Sugawa, Akinobu Teramoto, Tadahiro Ohmi

    2010 INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM 683-688 2010

    DOI: 10.1109/IRPS.2010.5488751  

    ISSN: 1541-7026

  221. Ultra-low Series Resistance W/ErSi2/n(+)-Si and W/Pd2Si/p(+)-Si S/D Electrodes for Advanced CMOS Platform Peer-reviewed

    Rihito Kuroda, Hiroaki Tanaka, Yukihisa Nakao, Akinobu Teramoto, Naoto Miyamoto, Shigetoshi Sugawa, Tadahiro Ohmi

    2010 INTERNATIONAL ELECTRON DEVICES MEETING - TECHNICAL DIGEST 580-583 2010

    DOI: 10.1109/IEDM.2010.5703425  

    ISSN: 2380-9248

  222. Impact of Very Low Series Resistance due to Raised Metal S/D Structure with Very Low Contact Resistance Silicide for sub-100-nm nMOSFET Peer-reviewed

    Rihito Kuroda, Tatsunori Isogai, Hiroaki Tanaka, Yukihisa Nakao, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    Extended Abstracts of the 2009 International Conference on SOLID STATE DEVICES AND MATERIALS 2009 994-995 2009/10

  223. Pixel Scaling in CMOS Image Sensors with Lateral Overflow Integration Capacitor Peer-reviewed

    Yoshiaki Tashiro, Shin Sakai, Shun Kawada, Rihito Kuroda, Nana Akahane, Koichi Mizobuchi, Shigetoshi Sugawa

    Extended Abstracts of the 2009 International Conference on SOLID STATE DEVICES AND MATERIALS 1062-1063 2009/10

  224. Data Analysis Technique of Atomic Force Microscopy for Atomically Flat Silicon Surfaces Peer-reviewed

    Masahiro Konda, Akinobu Teramoto, Tomoyuki Suwa, Rihito Kuroda, Tadahiro Ohmi

    IEICE TRANSACTIONS ON ELECTRONICS E92C (5) 664-670 2009/05

    DOI: 10.1587/transele.E92.C.664  

    ISSN: 0916-8524

    eISSN: 1745-1353

  225. A Study on Very High Performance Novel Balanced Fully Depleted Silicon-on-Insulator Complementary Metal-Oxide-Semiconductor Field-Effect Transistors on Si(110) Using Accumulation-Mode Device Structure for Radio-Frequency Analog Circuits Peer-reviewed

    Weitao Cheng, Akinobu Teramoto, ChingFoa Tye, Rihito Kuroda, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 48 (4) 04C047 2009/04

    DOI: 10.1143/JJAP.48.04C047  

    ISSN: 0021-4922

    eISSN: 1347-4065

  226. Complementary Metal-Oxide-Silicon Field-Effect-Transistors Featuring Atomically Flat Gate Insulator Film/Silicon Interface Peer-reviewed

    Rihito Kuroda, Akinobu Teramoto, Yukihisa Nakao, Tomoyuki Suwa, Masahiro Konda, Rui Hasebe, Xiang Li, Tatsunori Isogai, Hiroaki Tanaka, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 48 (4) 04C048 2009/04

    DOI: 10.1143/JJAP.48.04C048  

    ISSN: 0021-4922

    eISSN: 1347-4065

  227. Characterization for High-Performance CMOS Using In-Wafer Advanced Kelvin-Contact Device Structure Peer-reviewed

    Rihito Kuroda, Akinobu Teramoto, Takanori Komuro, Shigetoshi Sugawa, Tadahiro Ohmi

    IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING 22 (1) 126-133 2009/02

    DOI: 10.1109/TSM.2008.2010743  

    ISSN: 0894-6507

    eISSN: 1558-2345

  228. Atomically Flat Silicon Surface and Silicon/Insulator Interface Formation Technologies for (100) Surface Orientation Large-Diameter Wafers Introducing High Performance and Low-Noise Metal-Insulator-Silicon FETs Peer-reviewed

    Rihito Kuroda, Tomoyuki Suwa, Akinobu Teramoto, Rui Hasebe, Shigetoshi Sugawa, Tadahiro Ohmi

    IEEE TRANSACTIONS ON ELECTRON DEVICES 56 (2) 291-298 2009/02

    DOI: 10.1109/TED.2008.2010591  

    ISSN: 0018-9383

    eISSN: 1557-9646

  229. Three-step Room Temperature Wet Cleaning Process for Silicon Substrate Peer-reviewed

    Rui Hasebe, Akinobu Teramoto, Tomoyuki Suwa, Rihito Kuroda, Shigetoshi Sugawa, Tadahiro Ohmi

    ULTRA CLEAN PROCESSING OF SEMICONDUCTOR SURFACES IX 145-146 189-192 2009

    DOI: 10.4028/www.scientific.net/SSP.145-146.189  

    ISSN: 1012-0394

  230. Three-Step Room-Temperature Cleaning of Bare Silicon Surface for Radical-Reaction-Based Semiconductor Manufacturing Peer-reviewed

    Rui Hasebe, Akinobu Teramoto, Rihito Kuroda, Tomoyuki Suwa, Shigetoshi Sugawa, Tadahiro Ohmi

    JOURNAL OF THE ELECTROCHEMICAL SOCIETY 156 (1) H10-H17 2009

    DOI: 10.1149/1.2993153  

    ISSN: 0013-4651

    eISSN: 1945-7111

  231. Three-step room-temperature cleaning of bare silicon surface for radical-reaction-based semiconductor manufacturing Peer-reviewed

    Hasebe, R., Teramoto, A., Kuroda, R., Suwa, T., Sugawa, S., Ohmi, T.

    Journal of the Electrochemical Society 156 (1) H10-H17 2009

    DOI: 10.1149/1.2993153  

    ISSN: 0013-4651

    eISSN: 1945-7111

  232. Different types of degradation and recovery mechanisms on NBT stress for thin SiO<inf>2</inf> films by On-the-Fly measurement Peer-reviewed

    A. Teramoto, R. Kuroda, T. Suko, M. Sato, T. Tsuboi, S. Sugawa, T. Ohmi

    ECS Transactions 19 (2) 339-350 2009

    DOI: 10.1149/1.3122100  

    ISSN: 1938-5862

    eISSN: 1938-6737

  233. Different Types of Degradation and Recovery Mechanisms on NBT Stress for Thin SiO2 Films by On-the-Fly Measurement Peer-reviewed

    A. Teramoto, R. Kuroda, T. Suko, M. Sato, T. Tsuboi, S. Sugawa, T. Ohmi

    SILICON NITRIDE, SILICON DIOXIDE, AND EMERGING DIELECTRICS 10 19 (2) 339-+ 2009

    DOI: 10.1149/1.3122100  

    ISSN: 1938-5862

  234. A Pixel-Shared CMOS Image Sensor Using Lateral Overflow Gate Peer-reviewed

    Shin Sakai, Yoshiaki Tashiro, Nana Akahane, Rihito Kuroda, Koichi Mizobuchi, Shigetoshi Sugawa

    2009 PROCEEDINGS OF ESSCIRC 241-+ 2009

    DOI: 10.1109/ESSCIRC.2009.5326026  

    ISSN: 1930-8833

  235. A Wide Dynamic Range Checkered-Color CMOS Image Sensor with IR-Cut RGB and Visible-to-Near-IR Pixels Peer-reviewed

    Shun Kawada, Shin Sakai, Nana Akahane, Rihito Kuroda, Shigetoshi Sugawa

    2009 IEEE SENSORS, VOLS 1-3 1648-1651 2009

    DOI: 10.1109/ICSENS.2009.5398511  

  236. Accurate negative bias temperature instability lifetime prediction based on hole injection Peer-reviewed

    Akinobu Teramoto, Rihito Kuroda, Shigetoshi Sugawa, Tadahiro Ohmi

    MICROELECTRONICS RELIABILITY 48 (10) 1649-1654 2008/10

    DOI: 10.1016/j.microrel.2008.07.062  

    ISSN: 0026-2714

  237. CMOSFET Featuring Atomically Flat Gate Insulator Film/Silicon Interface on (100) Orientation Surface Peer-reviewed

    R. Kuroda, A. Teramoto, T. Suwa, Y. Nakao, S. Sugawa, T. Ohmi

    Extended Abstracts of the 2008 International Conference on SOLID STATE DEVICES AND MATERIALS 706-707 2008/09

  238. A Study on Very High Performance Novel Balanced FD-SOI CMOSFETs on Si(110) Using Accumulation Mode Device Structure for RF Analog Circuits Peer-reviewed

    Weitao Cheng, Akinobu Teramoto, ChingFoa Tye, Rihito Kuroda, Shigetoshi Sugawa, Tadahiro Ohmi

    Extended Abstracts of the 2008 International Conference on SOLID STATE DEVICES AND MATERIALS 876-877 2008/09

  239. 3-step room temperature wet cleaning process for silicon substrate Peer-reviewed

    R. Hasebe, A. Teramoto, R Kuroda, T. Suwa, S. Sugawa, T.Ohmi

    in proceedings of the 9th International Symposium on Ultra Clean Processing of Semiconductor Surfaces (UCPSS 2008) 136-137 2008/09

  240. The data analysis technique of the atomic force microscopy for the atomically flat silicon surface Peer-reviewed

    Masahiro Konda, Akinobu Teramoto, Tomoyuki Suwa, Rhito Kuroda, Tadahiro Ohmi

    in proceedings of the 2008 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2008 108 (122) 265-269 2008/07

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    Atomically flat (100) silicon surface constructed with atomic terraces and steps is realized by argon annealing at 1200℃ on (100) crystal orientation large diameter wafers with precisely controlled tilt angle. An atomic terraces and steps of (100) silicon surface can be measured as an image data by the atomic force microscopy (AFM). In order to discuss the flatness and the uniformity of the atomically flat silicon surface, it is important to evaluate the roughness of each terrace. In this paper, the data analysis technique of the atomic terraces and steps of (100) silicon surface will be proposed.

  241. Impact of Performance and Reliability Boosters in Novel FD-SOI CMOS Devices on Si(110) Surface for Analog Applications Peer-reviewed

    Weitao Cheng, Akinobu Teramoto, Rhito Kuroda, Ching Foa Tye, Syuichi Watabe, Shigetoshi Sugawa, Tadahiro Ohmi

    in proc. 29th International Conference on the Physics of Semiconductors (ICPS 2008) 602-603 2008/07

  242. Performance comparison of ultrathin fully depleted silicon-on-insulator inversion-, intrinsic-, and accumulation-mode metal-oxide-semiconductor field-effect transistors Peer-reviewed

    Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 47 (4) 2668-2671 2008/04

    DOI: 10.1143/JJAP.47.2668  

    ISSN: 0021-4922

    eISSN: 1347-4065

  243. THE CLEANING METHOD WHICH IS ABLE TO KEEP THE SMOOTHNESS OF SI (100) Peer-reviewed

    Xiang Li, Xun Gu, Akinobu Teramoto, Rihito Kuroda, Rui Hasebe, Tomoyuki Suwa, Ningmei Yu, Shigetoshi Sugawa, Takashi Ito, Tadahiro Ohmi

    in proceedings of the International Semiconductor Technology Conference 2008 469-474 2008/03

  244. Atomically Flat Gate Insulator/Silicon (100) Interface Formation Technology for High Performance LSI Invited Peer-reviewed

    Akinobu Teramoto, Rihito Kuroda, Tomoyuki Suwa, Tadahiro Ohmi

    WPI & IFCAM Joint Workshop -Challenge of Interdisciplinary Materials Science to Technological Innovation of the 21st Century- 15 2008/02

  245. The cleaning method which is able to keep the smoothness of SI (100) Peer-reviewed

    Xiang Li, Xun Gu, Akinobu Teramoto, Rihito Kuroda, Rui Hasebe, Tomoyuki Suwa, Ningmei Yu, Shigetoshi Sugawa, Takashi Ito, Tadahiro Ohmi

    Proceedings - Electrochemical Society PV 2008-1 469-474 2008

  246. Characterization of MOSFETs intrinsic performance using in-wafer advanced Kelvin-contact device structure for high performance CMOS LSIs Peer-reviewed

    Rihito Kuroda, Akinobu Teramoto, Takanori Komuro, Weitao Cheng, Syunichi Watabe, Ching Foa Tye, Shigetoshi Sugawa, Tadahiro Ohmi

    2008 IEEE INTERNATIONAL CONFERENCE ON MICROELECTRONIC TEST STRUCTURES, CONFERENCE PROCEEDINGS 155-+ 2008

    DOI: 10.1109/ICMTS.2008.4509331  

    ISSN: 1071-9032

  247. Atomically flat gate insulator/silicon (100) interface formation introducing high mobility, ultra-low noise, and small characteristics variation CMOSFET Peer-reviewed

    R. Kuroda, A. Teramoto, T. Suwa, R. Hasebe, X. Li, M. Konda, S. Sugawa, T. Ohmi

    ESSDERC 2008 - Proceedings of the 38th European Solid-State Device Research Conference 83-86 2008

    DOI: 10.1109/ESSDERC.2008.4681704  

    ISSN: 1930-8876

  248. High performance and highly reliable novel CMOS devices using accumulation mode multi-gate and fully depleted SOI MOSFETs Peer-reviewed

    W. Cheng, A. Teramoto, R. Kuroda, M. Hirayama, T. Ohmi

    Microelectronic Engineering 84 (9-10) 2105-2108 2007/09

    DOI: 10.1016/j.mee.2007.04.124  

    ISSN: 0167-9317

  249. Performance Comparison of Ultra-thin FD-SOI Inversion-, Intrinsic-and Accumulation- Mode MOSFETs Peer-reviewed

    Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    Extended Abstracts of the 2007 International Conference on SOLID STATE DEVICES AND MATERIALS 412-413 2007/09

  250. Circuit level prediction of device performance degradation due to negative bias temperature stress Peer-reviewed

    Rihito Kuroda, Akinobu Teramoto, Kazufumi Watanabe, Michihiko Mifuji, Takahisa Yamaha, Shigetoshi Sugawa, Tadahiro Ohmi

    MICROELECTRONICS RELIABILITY 47 (6) 930-936 2007/06

    DOI: 10.1016/j.microrel.2006.06.013  

    ISSN: 0026-2714

  251. Revolutional progress of silicon technologies exhibiting very high speed performance over a 50-GHz clock rate Peer-reviewed

    Tadahiro Ohmi, Akinobu Teramoto, Rihito Kuroda, Naoto Miyamoto

    IEEE TRANSACTIONS ON ELECTRON DEVICES 54 (6) 1471-1477 2007/06

    DOI: 10.1109/TED.2007.896391  

    ISSN: 0018-9383

    eISSN: 1557-9646

  252. Analysis of Source Follower Random Telegraph Signal Using nMOS and pMOS Array TEG Peer-reviewed

    Kenichi Abe, Shigetoshi Sugawa, Rihito Kuroda, Shunichi Watabe, Naoto, Miyamoto, Akinobu Teramoto, Tadahiro Ohmi, Yutaka Kamata, Katsuhiko Shibusawa

    in proceedings of the 2007 International Image Sensor Workshop 62-65 2007/06

  253. Accuracy and applicability of low-frequency C-V measurement methods for characterization of ultrathin gate dielectrics with large current Peer-reviewed

    Rihito Kuroda, Akinobu Teramoto, Takanori Komuro, Hiroshi Tatekawa, Shigetoshi Sugawa, Tadahiro Ohmi

    IEEE TRANSACTIONS ON ELECTRON DEVICES 54 (5) 1115-1124 2007/05

    DOI: 10.1109/TED.2007.893207  

    ISSN: 0018-9383

  254. Examination of degradation mechanism due, to negative bias temperature stress from a perspective of hole energy for accurate lifetime prediction Peer-reviewed

    Kazufumi Watanabe, Akinobu Teramoto, Rihito Kuroda, Shigetoshi Sugawa, Tadahiro Uni

    MICROELECTRONICS RELIABILITY 47 (2-3) 409-418 2007/02

    DOI: 10.1016/j.microrel.2006.06.001  

    ISSN: 0026-2714

  255. NBTI mechanism based on hole-injection for accurate lifetime prediction Peer-reviewed

    Akinobu Teramoto, Rihito Kuroda, Tadahiro Ohmi

    ECS Transactions 6 (3) 229-243 2007

    DOI: 10.1149/1.2728799  

    ISSN: 1938-5862 1938-6737

    eISSN: 1938-6737

  256. Hot carrier instability mechanism in accumulation-mode normally-off SOI nMOSFETs and their reliability advantage Peer-reviewed

    R. Kuroda, A. Teramoto, W. Cheng, S. Sugawa, T. Ohmi

    ECS Transactions 6 (4) 113-118 2007

    DOI: 10.1149/1.2728849  

    ISSN: 1938-5862 1938-6737

    eISSN: 1938-6737

  257. NBTI mechanism based on hole-injection for accurate lifetime prediction Peer-reviewed

    Akinobu Teramoto, Rihito Kuroda, Tadahiro Ohmi

    ECS Transactions 6 (3) 229-243 2007

    DOI: 10.1149/1.2728799  

    ISSN: 1938-5862

    eISSN: 1938-6737

  258. Hot carrier instability mechanism in accumulation-mode normally-off SOI nMOSFETs and their reliability advantage Peer-reviewed

    R. Kuroda, A. Teramoto, W. Cheng, S. Sugawa, T. Ohmi

    ECS Transactions 6 (4) 113-118 2007

    DOI: 10.1149/1.2728849  

    ISSN: 1938-5862

    eISSN: 1938-6737

  259. Modeling and implementation of subthreshold characteristics of accumulation-mode MOSFETs for various SOI layer thickness and impurity concentrations Peer-reviewed

    R. Kuroda, A. Teramoto, W. Cheng, S. Sugawa, T. Ohmi

    Proceedings - IEEE International SOI Conference 55-56 2007

    DOI: 10.1109/SOI.2007.4357849  

    ISSN: 1078-621X

  260. Capacitance-voltage measurement method for ultrathin gate dielectrics using LC resonance circuit Peer-reviewed

    Akinobu Teramoto, Rihito Kuroda, Masanori Komura, Kazufumi Watanabe, Shigetoshi Sugawa, Tadahiro Ohmi

    IEEE Transactions on Semiconductor Manufacturing 19 (1) 43-49 2006/02

    DOI: 10.1109/TSM.2005.863230  

    ISSN: 0894-6507

  261. Accurate circuit performance prediction model and lifetime prediction method of NBT stressed devices for highly reliable ULSI circuits Peer-reviewed

    Rihito Kuroda, Kazufumi Watanabe, Akinobu Teramoto, Michihiko Mifuji, Takahisa Yamaha, Shigetoshi Sugawa, Tadahiro Ohmi

    2006 IEEE International Conference on Integrated Circuit Design and Technology, ICICDT'06 717-720 2006

    DOI: 10.1109/icicdt.2006.220826  

  262. Accurate circuit performance prediction model and lifetime prediction method of NBT stressed devices for highly reliable ULSI circuits Peer-reviewed

    Rihito Kuroda, Kazufumi Watanabe, Akinobu Teramoto, Michihiko Mifuji, Takahisa Yamaha, Shigetoshi Sugawa, Tadahiro Ohmi

    2006 IEEE INTERNATIONAL CONFERENCE ON INTEGRATED CIRCUIT DESIGN AND TECHNOLOGY, PROCEEDINGS 199-+ 2006

  263. New NBTI Lifetime Prediction Method for Ultra Thin SiO2 Films Peer-reviewed

    K. Watanabe, R. Kuroda, A. Teramoto, S. Sugawa, T. Ohmi

    208th Electrochemical Society Meeting 738 2005/10

  264. NEW NBTI LIFETIME PREDICTION METHOD FOR ULTRA THIN SIO2 FILMS-5 Peer-reviewed

    K. Watanabe, R. Kuroda, A. Teramoto, S. Sugawa, T. Ohmi

    Electrochemical Society Transactions on Physics and Chemistry of SiO2 and the Si-SiO2 Interface 1 (1) 147-160 2005/10

  265. NEW LIFETIME PREDICTION METHOD FOR PMOSFETS WITH ULTRA THIN GATE FILMS

    Rihito Kuroda, Kazufumi Watanabe, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    in proceedings of the 3rd Student-organizing International Mini-Conference on Information Electronics 127-130 2005/10

  266. EOT Measurement for Ultra-Thin gate dielectrics using LC Resonance Circuit Peer-reviewed

    A. Teramoto, M. Komura, R. Kuroda, K. Watanabe, S. Sugawa, T. Ohmi

    in proceeding of the IEEE International Conference on Microelectronic Test Structures 223-227 2005/04

  267. New NBTI lifetime prediction method for ultra thin siO<inf>2</inf> films Peer-reviewed

    K. Watanabe, R. Kuroda, A. Teramoto, S. Sugawa, T. Ohmi

    ECS Transactions 1 (1) 147-160 2005

    ISSN: 1938-5862

    eISSN: 1938-6737

  268. EOT measurement for ultra-thin gate dielectrics using LC resonance circuit Peer-reviewed

    A. Teramoto, M. Komura, R. Kuroda, K. Watanabe, S. Sugawa, T. Ohmi

    IEEE International Conference on Microelectronic Test Structures 223-227 2005

  269. Accurate circuit performance prediction model and lifetime prediction method of NBT stressed devices for highly reliable ULSI circuits Peer-reviewed

    Rihito Kuroda, Kazufumi Watanabe, Akinobu Teramoto, Michihiko Mifuji, Takahisa Yamaha, Shigetoshi Sugawa, Tadahiro Ohmi

    Technical Digest - International Electron Devices Meeting, IEDM 2005 700-703 2005

    ISSN: 0163-1918

  270. Accurate circuit performance prediction model and lifetime prediction method of NBT stressed devices for highly reliable ULSI circuits Peer-reviewed

    R Kuroda, K Watanabe, A Teramoto, M Mifuji, T Yamaha, S Sugawa, T Ohmi

    IEEE INTERNATIONAL ELECTRON DEVICES MEETING 2005, TECHNICAL DIGEST 717-720 2005

Show all ︎Show first 5

Misc. 135

  1. Statistical Measurement of Electrical Characteristics of Functional Thin Films Using Impedance Measurement Platform Technology

    齊藤宏河, 鈴木達彦, 光田薫未, 間脇武蔵, 間脇武蔵, 諏訪智之, 寺本章伸, 寺本章伸, 須川成利, 黒田理人, 黒田理人

    応用物理学会秋季学術講演会講演予稿集(CD-ROM) 84th 2023

    ISSN: 2758-4704

  2. Statistical Measurement of Trap Characteristics of High Capacitance Density Trench Capacitor Using Current Measurement Platform

    鈴木達彦, 齊藤宏河, 光田薫未, 間脇武蔵, 間脇武蔵, 須川成利, 黒田理人, 黒田理人

    応用物理学会秋季学術講演会講演予稿集(CD-ROM) 84th 2023

    ISSN: 2758-4704

  3. Statistical Measurement of HfOx Film Resistance Change Using Resistance Measurement Platform

    光田薫未, 鈴木達彦, 齊藤宏河, 間脇武蔵, 間脇武蔵, 須川成利, 黒田理人, 黒田理人

    応用物理学会秋季学術講演会講演予稿集(CD-ROM) 84th 2023

    ISSN: 2758-4704

  4. Statistical Analysis of Random Telegraph Noise Dependence on MOS Transistor Shapes and Drain-to-Source Voltage

    間脇武蔵, 間脇武蔵, 黒田理人, 黒田理人

    応用物理学会秋季学術講演会講演予稿集(CD-ROM) 84th 2023

    ISSN: 2758-4704

  5. statistical analysis of random telegraphic noise dependence on operating condition using electrical characteristic measurement platform

    間脇武蔵, 間脇武蔵, 黒田理人, 黒田理人

    電子情報通信学会技術研究報告(Web) 123 (211(SDM2023 54-61)) 2023

    ISSN: 2432-6380

  6. Resistance Measurement Technology for Statistical Analysis of Thin Films Materials for Emerging Memory with High Accuracy and Wide Range

    光田薫未, 天満亮介, 間脇武蔵, 間脇武蔵, 黒田理人, 黒田理人

    電子情報通信学会技術研究報告(Web) 122 (215(SDM2022 54-63)) 2022

    ISSN: 2432-6380

  7. Modification of states of copper and copper oxide due to IPA treatment

    間脇武蔵, 寺本章伸, 石井勝利, 志波良信, 諏訪智之, 東雲秀司, 清水亮, 梅澤好太, 黒田理人, 白井泰雪, 須川成利

    電子情報通信学会技術研究報告(Web) 121 (71(SDM2021 22-29)) 2021

    ISSN: 2432-6380

  8. Statistical Analysis of RTN Behavior on Transistor Structures, Operating Regions, and Carrier Transport Directions

    秋元暸, 黒田理人, 黒田理人, 間脇武蔵, 須川成利

    電子情報通信学会技術研究報告(Web) 121 (212(SDM2021 44-52)) 2021

    ISSN: 2432-6380

  9. Noise Reduction in CMOS Image Sensors Using Analog Correlated Multiple Sampling with High Density Capacitors

    鴨志田俊太, 鈴木学, 黒田理人, 黒田理人, 須川成利

    映像情報メディア学会技術報告 45 (11(IST2021 8-21)) 2021

    ISSN: 1342-6893

  10. A Global Shutter Wide Dynamic Range Soft X-Ray CMOS Image Sensor with 45μm-Thick Backside-Illuminated Pinned Photodiode and Two-Stage LOFIC

    四家寛也, 黒田理人, 黒田理人, 黒田理人, 小林諒太, 村田真麻, 藤原康行, 鈴木学, 原田将真, 柴口拓, 栗山尚也, 初井宇記, 宮脇淳, 宮脇淳, 宮脇淳, 原田哲男, 山崎裕一, 山崎裕一, 渡邊健夫, 原田慈久, 原田慈久, 須川成利, 須川成利

    映像情報メディア学会技術報告 45 (11(IST2021 8-21)) 2021

    ISSN: 1342-6893

  11. Si(551)および(15 17 3)表面の構造解析

    青山大晃, 内藤完, 中塚聡平, 小川修一, 虻川匡司, 江口豊明, 服部賢, 服部梓, 黒田理人

    日本表面真空学会東北・北海道支部学術講演会講演予稿集 2020 (CD-ROM) 2021

  12. Ultra-small UV-light sensing Si photodiode and image sensor technologies

    39 (6) 77-82 2020/06

    Publisher: オプトロニクス社

    ISSN: 0286-9659

  13. Outline and Topics : Small pixels and optics, noise and high dynamic range

    74 (2) 263-268 2020/03

    Publisher: 映像情報メディア学会

    ISSN: 1342-6907

  14. A Single Exposure Linear Response Over 120dB Dynamic Range CMOS Image Sensor with Two-stage Lateral Overflow Integration Trench Capacitors

    44 (11) 81-84 2020/03

    Publisher: 映像情報メディア学会

    ISSN: 1342-6893

  15. Operation Principle and Structure of Normally-off Floating Gate GaN HEMT with Injection Gate

    119 (408) 55-58 2020/01/31

    Publisher: 電子情報通信学会

    ISSN: 0913-5685

  16. Large-Scale Evaluation of MIM Devices Using High-Precision Current Measurement Array Test Circuit

    鈴木勇人, PARK Hyeonwoo, 寺本章伸, 寺本章伸, 黒田理人, 黒田理人, 諏訪智之, 須川成利, 須川成利

    応用物理学会春季学術講演会講演予稿集(CD-ROM) 67th 2020

  17. Image Electronics Information Sensing

    秋田純一, 井口義則, 池辺将之, 丸山基之, 関根寛, 黒田理人, 香川景一郎, 小室孝, 徳田崇, 船津良平, 西山円, 廣瀬裕, 藤澤大介, 杉山行信

    映像情報メディア学会誌 74 (5) 2020

    ISSN: 1342-6907

  18. Analysis of Effect of Drain-to-Source Voltage on Random Telegraph Noise by Statistical Measurement

    秋元瞭, 黒田理人, 黒田理人, 寺本章伸, 寺本章伸, 間脇武蔵, 市野真也, 諏訪智之, 須川成利

    電子情報通信学会技術研究報告(Web) 120 (205(SDM2020 14-21)) 2020

    ISSN: 2432-6380

  19. Modification of states of copper and copper oxide due to IPA treatment

    間脇武蔵, 寺本章伸, 石井勝利, 志波良信, 諏訪智之, 東雲秀司, 清水亮, 梅澤好太, 黒田理人, 白井泰雪, 須川成利

    電子情報通信学会技術研究報告(Web) 120 (205(SDM2020 14-21)) 2020

    ISSN: 2432-6380

  20. High capacitance density and High breakdown voltage textured deep trench SiN capacitors toward 3D integration

    齊藤宏河, 吉田彩乃, 黒田理人, 黒田理人, 柴田寛, 柴口拓, 栗山尚也, 須川成利

    電子情報通信学会技術研究報告(Web) 120 (205(SDM2020 14-21)) 2020

    ISSN: 2432-6380

  21. Structural analysis of Si(551) surface: I

    内藤完, 中塚聡平, 小川修一, 虻川匡司, 江口豊明, 服部賢, 服部梓, 黒田理人

    日本物理学会講演概要集(CD-ROM) 75 (2) 2020

    ISSN: 2189-079X

  22. Structural analysis of Si(551) surface by W-RHEED and STM

    内藤完, 中塚聡平, 小川修一, 虻川匡司, 江口豊明, 服部賢, 服部梓, 黒田理人

    日本表面真空学会学術講演会要旨集(Web) 2020 2020

    ISSN: 2434-8589

  23. High sensitivity, high resolution and real-time proximity capacitance image sensors

    KURODA Rihito, YAMAMOTO Masahiro, SUGAWA Shigetoshi

    Oyo Buturi 89 (6) 328-332 2020

    Publisher: The Japan Society of Applied Physics

    DOI: 10.11470/oubutsu.89.6_328  

    ISSN: 0369-8009

    More details Close

    <p>Proximity capacitance image sensors capture a two-dimensional profile of capacitance that appears when a sensor is placed close to a measurement target. Applications include fingerprint sensing, proximity sensors, electrical capacitance tomography, liquid level sensors, and research and development for the inspection of flat panel displays as well as printed circuit boards, contamination detection of sheet material and cell reaction measurements are actively conducted. This manuscript introduces a developed high sensitivity, high resolution and real-time proximity capacitance image sensor that achieved 0.1aF accuracy enabled by advanced noise cancelling technology. The operation principle of the developed sensor, performance and measurement results for various applications are presented.</p>

  24. Invited : Measurement and Analysis Technologies of RTS Noise Toward Advanced CMOS Image Sensors Development Invited

    119 (273) 55-58 2019/11/07

    Publisher: 電子情報通信学会

    ISSN: 0913-5685

  25. Effect of an oxide layer at Co/Si interface on Schottky barrier height and contact resistivity

    119 (239) 35-38 2019/10/23

    Publisher: 電子情報通信学会

    ISSN: 0913-5685

  26. Resistance Measurement Platform for Statistical Evaluation of Emerging Memory Materials with High Accuracy

    119 (239) 59-64 2019/10/23

    Publisher: 電子情報通信学会

    ISSN: 0913-5685

  27. Gas concentration distribution measurement in semiconductor process chamber using a high SNR CMOS absorption image sensor

    119 (239) 65-68 2019/10/23

    Publisher: 電子情報通信学会

    ISSN: 0913-5685

  28. Observation of Fluctuation of Magnetron Sputtering Plasmas Using High-speed Video Camera

    119 (239) 69-72 2019/10/23

    Publisher: 電子情報通信学会

    ISSN: 0913-5685

  29. Measurement of gas concentration distribution in vacuum chamber using high SN ratio absorption imaging

    高橋圭吾, DA SILVA Yhang Ricardo Sipauba, 沼尾直毅, 黒田理人, 藤原康行, 村田真麻, 石井秀和, 森本達郎, 森本達郎, 諏訪智之, 寺本章伸, 須川成利, 須川成利

    映像情報メディア学会技術報告 43 (18) 11-14 2019/06

    Publisher: 映像情報メディア学会

    ISSN: 1342-6893

  30. Report on IEDM 2018

    黒田 理人

    映像情報メディア学会誌 = The journal of the Institute of Image Information and Television Engineers 73 (3) 481-486 2019/05

    Publisher: 映像情報メディア学会

    ISSN: 1342-6907

  31. A 24.3Me&lt;sup&gt;-&lt;/sup&gt; Full Well Capacity and High Near Infrared Sensitivity CMOS Image Sensor with Lateral Overflow Integration Trench Capacitor

    村田真麻, 黒田理人, 藤原康行, 大塚雄介, 柴田寛, 柴口拓, 鎌田浩, 三浦規之, 栗山尚也, 須川成利

    映像情報メディア学会技術報告 43 (11(IST2019 12-22)) 27‐32 2019/03/15

    ISSN: 1342-6893

  32. 横型オーバーフロー蓄積トレンチ容量を有する飽和電子数2430万個・近赤外高感度CMOSイメージセンサ (情報センシング)

    村田 真麻, 黒田 理人, 藤原 康行, 大塚 雄介, 柴田 寛, 柴口 拓, 鎌田 浩, 三浦 規之, 栗山 尚也, 須川 成利

    映像情報メディア学会技術報告 = ITE technical report 43 (11) 27-32 2019/03

    Publisher: 映像情報メディア学会

    ISSN: 1342-6893

  33. A CMOS Proximity Capacitance Image Sensor with 0.1aF Detection Accuracy

    山本将大, 黒田理人, 鈴木学, 後藤哲也, 羽森寛, 村上真一, 安田俊朗, 横道やよい, 須川成利, 須川成利

    映像情報メディア学会技術報告 43 (11) 49-54 2019/03

    Publisher: 映像情報メディア学会

    ISSN: 1342-6893

  34. Emerging Tech 電子デバイス 混載MRAMとEUVは量産へ FinFET後継「GAA」も : 量子コンピューターや5Gに照準、「2018 IEDM」報告

    黒田 理人

    日経エレクトロニクス = Nikkei electronics : sources of innovation (1200) 61-68 2019/02

    Publisher: 日経BP社

    ISSN: 0385-1680

    More details Close

    2018年12月に米国で開催された電子デバイス技術の旗艦学会「2018 IEDM」における注目の発表を東北大学 准教授の黒田理人氏が解説する。注目したのは、半導体集積回路・デバイス・プロセス技術に関する最新成果だ。

  35. A Review of the 2018 IEEE International Electron Devices Meeting (IEDM)

    Kirsten Moselund, Rihito Kuroda

    IEEE Electron Device Society Newsletter 26 (1) 7-10 2019/01

  36. High Speed and Narrow-Bandpass Liquid Crystal Filter for Real-Time Multi Spectral Imaging Systems Peer-reviewed

    Kohei Terashima, Kazuhiro Wako, Yasuyuki Fujihara, Yusuke Aoyagi, Maasa Murata, Yosei Shibata, Shigetoshi Sugawa, Takahiro Ishinabe, Rihito Kuroda, Hideo Fujikake

    IEICE TRANSACTIONS ON ELECTRONICS E101C (11) 897-900 2018/11

    DOI: 10.1587/transele.E101.C.897  

    ISSN: 1745-1353

  37. Statistical Analysis of Electric Characteristics Variability Using MOSFETs with Asymmetric Source and Drain

    市野 真也, 寺本 章伸, 黒田 理人, 間脇 武蔵, 諏訪 智之, 須川 成利

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 118 (241) 51-56 2018/10/17

    Publisher: 電子情報通信学会

    ISSN: 0913-5685

  38. Statistical Analysis of Electric Characteristics Variability Using MOSFETs with Asymmetric Source and Drain

    市野真也, 寺本章伸, 黒田理人, 間脇武蔵, 諏訪智之, 須川成利, 須川成利

    電子情報通信学会技術研究報告 118 (241(SDM2018 52-63)) 51‐56 2018/10/10

    ISSN: 0913-5685

  39. A 125Mfps High Speed CMOS Image Sensor with Burst CDS Operation

    鈴木学, 黒田理人, 須川成利

    映像情報メディア学会技術報告 42 (30) 5-8 2018/09

    Publisher: 映像情報メディア学会

    ISSN: 1342-6893

  40. Image Electronics Information Sensing

    浜本隆之, 大高俊徳, 池辺将之, 樽木久征, 小林昌弘, 黒田理人, 小室孝, 徳田崇, 船津良平, 近藤亨, 廣瀬裕, 藤澤大介, 山本洋夫

    映像情報メディア学会誌 72 (4) 537-550 2018/07

    Publisher: 映像情報メディア学会

    ISSN: 1342-6907

  41. Imaging of Sub-ppm Order Ozonated Water Convection Using High Ultraviolet Light Sensitivity and High Saturation CMOS Image Sensor

    村田真麻, 藤原康行, 青柳雄介, 黒田理人, 須川成利

    映像情報メディア学会技術報告 42 (19) 13-16 2018/06

    Publisher: 映像情報メディア学会

    ISSN: 1342-6893

  42. A Prototype Ultra-High Speed Global Shutter CMOS Image Sensor with 50Mfps Frame Rate

    鈴木学, 鈴木将, 黒田理人, 須川成利

    映像情報メディア学会技術報告 42 (10) 39-42 2018/03

    Publisher: 映像情報メディア学会

    ISSN: 1342-6893

  43. 三次元積層を用いた先進CMOSイメージセンサ技術―イメージセンサ技術のさらなる進化― Peer-reviewed

    黒田 理人

    日本工業出版, 光アライアンス 特集:生体イメージングを推める光デバイス 28 (1) 12-16 2017/12

  44. 三次元積層を用いた先進CMOSイメージセンサ技術 : イメージング技術のさらなる進化 (特集 生体イメージングを推める光デバイス)

    黒田 理人

    光アライアンス 28 (12) 12-16 2017/12

    Publisher: 日本工業出版

    ISSN: 0917-026X

  45. 生体イメージングを推める光デバイス 三次元積層を用いた先進CMOSイメージセンサ技術=イメージング技術のさらなる進化=

    黒田理人

    光アライアンス 28 (12) 12‐16 2017/12/01

    ISSN: 0917-026X

  46. Experimental Investigation of Localized Stress Induced Leakage Current Distribution and Its Decrease by Atomically Flattening Process (シリコン材料・デバイス)

    朴 賢雨, 黒田 理人, 後藤 哲也, 諏訪 智之, 寺本 章伸, 木本 大幾, 須川 成利

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 117 (260) 9-14 2017/10/25

    Publisher: 電子情報通信学会

    ISSN: 0913-5685

  47. A High Sensitivity Realtime Compact Gas Concentration Sensor using UV absorption spectroscopy and Charge Amplifier Circuit

    石井 秀和, 永瀬 正明, 池田 信一, 志波 良信, 白井 泰雪, 黒田 理人, 須川 成利

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 117 (260) 35-38 2017/10/25

    Publisher: 電子情報通信学会

    ISSN: 0913-5685

  48. Analysis of Random Telegraph Noise Behaviors toward Changes of Source Follower Transistor Operation Conditions using High Accuracy Array Test Circuit

    市野 真也, 間脇 武蔵, 寺本 章伸, 黒田 理人, 若嶋 駿一, 須川 成利

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 117 (260) 57-62 2017/10/25

    Publisher: 電子情報通信学会

    ISSN: 0913-5685

  49. Analysis of Random Telegraph Noise Behaviors toward Changes of Source Follower Transistor Operation Conditions using High Accuracy Array Test Circuit

    市野真也, 間脇武蔵, 寺本章伸, 黒田理人, 若嶋駿一, 須川成利, 須川成利

    電子情報通信学会技術研究報告 117 (260(SDM2017 50-60)) 57‐62 2017/10/18

    ISSN: 0913-5685

  50. A High Sensitivity Realtime Compact Gas Concentration Sensor using UV absorption spectroscopy and Charge Amplifier Circuit

    石井秀和, 永瀬正明, 池田信一, 志波良信, 白井泰雪, 黒田理人, 須川成利, 須川成利

    電子情報通信学会技術研究報告 117 (260(SDM2017 50-60)) 35‐38 2017/10/18

    ISSN: 0913-5685

  51. A Spectral Imaging System with an Over 70dB SNR CMOS Image Sensor and Electrically Tunable 10nm FWHM Multi-Bandpass Filter

    青柳雄介, 藤原康行, 村田真麻, 那須野悟史, 若嶋駿一, 黒田理人, 寺島康平, 石鍋隆宏, 藤掛英夫, 若生一広, 須川成利

    映像情報メディア学会技術報告 41 (32) 9-12 2017/09

    Publisher: 映像情報メディア学会

    ISSN: 1342-6893

  52. Statistical analysis of random telegraph noise in pixel source follower : Impacts of transistor shape, time constants and number of states

    黒田理人, 寺本章伸, 市野真也, 間脇武蔵, 若嶋駿一, 須川成利

    映像情報メディア学会技術報告 41 (32) 13-16 2017/09

    Publisher: 映像情報メディア学会

    ISSN: 1342-6893

  53. Cameras with on-chip memory CMOS image sensors Peer-reviewed

    Rihito Kuroda, Shigetoshi Sugawa

    The Micro-World Observed by Ultra High-Speed Cameras: We See What You Don't See 103-124 2017/08/30

    Publisher: The Micro-World Observed by Ultra High-Speed Cameras: We See What You Don't See

    DOI: 10.1007/978-3-319-61491-5_5  

  54. 高速CMOSイメージセンサ技術の歩み

    須川成利, 鈴木学, 鈴木将, 黒田理人

    映像情報メディア学会年次大会講演予稿集(CD-ROM) 2017 ROMBUNNO.S5‐2 2017/08/16

    ISSN: 1880-6961

  55. A high sensitivity and high readout speed electron beam detector using steep pn junction Si diode technology for low acceleration voltage

    41 (10) 39-42 2017/03

    Publisher: 映像情報メディア学会

    ISSN: 1342-6893

  56. An Ultra-High Speed Global Shutter CMOS Image Sensor with High Density Analog Memories

    鈴木学, 鈴木将, 黒田理人, 熊谷勇喜, 千葉亮, 三浦規之, 栗山尚也, 須川成利

    映像情報メディア学会技術報告 41 (10) 7-10 2017/03

    Publisher: 映像情報メディア学会

    ISSN: 1342-6893

  57. Spectral Absorption Imaging with an Over 70dB SNR CMOS Image Sensor

    Tohoku-Section Joint Convention Record of Institutes of Electrical and Information Engineers, Japan 2017 (0) 16-16 2017

    Publisher: Organizing Committee of Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers, Japan

    DOI: 10.11528/tsjc.2017.0_16  

  58. Progress of ultra‐high speed CMOS image sensor technologies over 10 million frames per second

    黒田理人, 鈴木学, 鈴木将, 須川成利

    高速度イメージングとフォトニクスに関する総合シンポジウム講演論文集(CD-ROM) 2017 ROMBUNNO.3‐2 2017

  59. Formation technology of Flat Surface after Selective-Epitaxial-Growth on Ion-Implanted (100) Oriented Thin SOI Wafers

    古川 貴一, 寺本 章伸, 黒田 理人, 諏訪 智之, 橋本 圭市, 須川 成利, 鈴木 大介, 千葉 洋一郎, 石井 勝利, 清水 亮, 長谷部 一秀

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 116 (270) 9-14 2016/10/26

    Publisher: 電子情報通信学会

    ISSN: 0913-5685

  60. 原子層堆積法で成膜したAl₂O₃膜界面に及ぼす酸化種の影響 (シリコン材料・デバイス)

    齋藤 雅也, 諏訪 智之, 寺本 章伸, 黒田 理人, 幸田 安真, 杉田 久哉, 林 真里恵, 土本 淳一, 石井 秀和, 志波 良信, 白井 泰雪, 須川 成利

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 116 (270) 27-30 2016/10/26

    Publisher: 電子情報通信学会

    ISSN: 0913-5685

  61. Behavior of Random Telegraph Noise toward Bias Voltage Changing

    間脇 武蔵, 寺本 章伸, 黒田 理人, 市野 真也, 後藤 哲也, 諏訪 智之, 須川 成利

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 116 (270) 35-38 2016/10/26

    Publisher: 電子情報通信学会

    ISSN: 0913-5685

  62. Formation Technology of Flat Surface after Selective‐Epitaxial‐Growth on Ion‐Implanted (100) Oriented Thin SOI Wafers

    古川貴一, 寺本章伸, 黒田理人, 諏訪智之, 橋本圭市, 須川成利, 鈴木大介, 千葉洋一郎, 石井勝利, 清水亮, 長谷部一秀

    電子情報通信学会技術研究報告 116 (270(SDM2016 69-78)) 9‐14 2016/10/19

    ISSN: 0913-5685

  63. Behavior of Random Telegraph Noise toward Bias Voltage Changing

    間脇武蔵, 寺本章伸, 黒田理人, 市野真也, 後藤哲也, 諏訪智之, 須川成利, 須川成利

    電子情報通信学会技術研究報告 116 (270(SDM2016 69-78)) 35‐38 2016/10/19

    ISSN: 0913-5685

  64. Effects of the oxidizing species on the interface of Al&lt;sub&gt;2&lt;/sub&gt;O&lt;sub&gt;3&lt;/sub&gt; film by atomic layer deposition

    齋藤雅也, 諏訪智之, 寺本章伸, 黒田理人, 幸田安真, 杉田久哉, 林真里恵, 土本淳一, 石井秀和, 志波良信, 白井泰雪, 須川成利

    電子情報通信学会技術研究報告 116 (270(SDM2016 69-78)) 27‐30 2016/10/19

    ISSN: 0913-5685

  65. ITE Review 2015 Series(9)Image Electronics Information Sensing

    須川成利, 大竹浩, 池辺将之, 佐藤俊明, 小林昌弘, 黒田理人, 浜本隆之, 小室孝, 徳田崇, 山下誉行, 綱井史郎, 廣瀬裕, 赤井大輔, 山本洋夫

    映像情報メディア学会誌 70 (4) 609-622 2016/07

    Publisher: 映像情報メディア学会

    ISSN: 1342-6907

  66. Image Electronics Information Sensing

    Sugawa Shigetoshi, Yamashita Takayuki, Tsunai Shiro, Hirose Yutaka, Akai Daisuke, Yamamoto Hiroo, Ohtake Hiroshi, Ikebe Masayuki, Sato Toshiaki, Kobayashi Masahiro, Kuroda Rihito, Hamamoto Takayuki, Komuro Takashi, Tokuda Takashi

    The Journal of The Institute of Image Information and Television Engineers 70 (7) 609-622 2016

    Publisher: The Institute of Image Information and Television Engineers

    DOI: 10.3169/itej.70.609  

    ISSN: 1342-6907

  67. Dynamic Response of Random Telegraph Noise Time Constants toward Bias Voltage Changing

    Tohoku-Section Joint Convention Record of Institutes of Electrical and Information Engineers, Japan 2016 (0) 64-64 2016

    Publisher: Organizing Committee of Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers, Japan

    DOI: 10.11528/tsjc.2016.0_64  

  68. Visualization of Ultra High-Speed Phenomena by 10 Mfps Ultra High-Speed Camera with Improved Photosensitivity of ISO 16000

    SUZUKI Manabu, SUZUKI Masashi, SHAO Fan, KURODA Rihito, TOKUOKA Nobuyuki, KAWAGUCHI Yasunori, TOMINAGA Hideki, SUGAWA Shigetoshi

    ITE Technical Report 40 (0) 25-28 2016

    Publisher: The Institute of Image Information and Television Engineers

    DOI: 10.11485/itetr.40.12.0_25  

    ISSN: 1342-6893

    More details Close

    In this paper, an ultra high-speed (UHS) CMOS image sensor which placed memories in the on-chip peripheral pixel region with improved photosensitivity and power consumption performances and a 10 Mfps UHS video camera adopted that sensor are demonstrated. Image capturing of UHS phenomena and sample images using the developed UHS video camera are described.

  69. Ultraviolet Spectral Imaging using High Light Resistance Wide Dynamic Range CMOS Image Sensor

    Fujihara Yasuyuki, Nasuno Satoshi, Wakashima Shunichi, Kusuhara Fumiaki, Ishii Hidekazu, Kuroda Rihito, Sugawa Shigetoshi

    ITE Technical Report 40 (0) 13-16 2016

    Publisher: The Institute of Image Information and Television Engineers

    DOI: 10.11485/itetr.40.15.0_13  

    ISSN: 1342-6893

    More details Close

    This paper reports ultraviolet spectral imaging technology using a high light resistance wide dynamic range CMOS image sensor. The CMOS image sensor has been designed, fabricated and evaluated. It achieved a wide spectral response for 190-1000nm, a 94dB dynamic range, a full well capacity of 87ke-, a maximum frame rate of 1.2kfps and a high light resistance to UV light exposure. An ultraviolet spectral imaging system was developed by using the CMOS image sensor and captured real-time images of the chemical substances' diffusion in a liquid which cannot be observed under visible light.

  70. Differential Spectral Response Based Ultraviolet Radiation Sensor Using Silicon Photodiodes

    Yhang Ricardo Sipauba Carvalho da Silva, Koda Yasumasa, Nasuno Satoshi, Kuroda Rihito, Sugawa Shigetoshi

    ITE Technical Report 40 (0) 5-8 2016

    Publisher: The Institute of Image Information and Television Engineers

    DOI: 10.11485/itetr.40.15.0_5  

    ISSN: 1342-6893

    More details Close

    A bulk-Si ultraviolet radiation sensor was developed by extracting the differential spectral response of a high UV sensitivity and a low UV sensitivity photodiodes. Both types of photodiodes were fabricated together in the same chip, by adjusting the dopant concentration profiles. The developed sensor showed a sensitivity of 0.132 A/W at 310 nm and a residual sensitivity lower than 0.014 A/W for wavelengths longer than 500 nm. In this paper, the operating principle of this sensor, its fabrication method and the measurement results are discussed.

  71. A dead-time free global shutter stacked CMOS image sensor with in-pixel lateral overflow integration capacitorand ADC using pixel-wise connections

    KURODA Rihito, SUGO Hidetake, WAKASHIMA Shunichi, SUGAWA Shigetoshi

    ITE Technical Report 40 (0) 11-14 2016

    Publisher: The Institute of Image Information and Television Engineers

    DOI: 10.11485/itetr.40.32.0_11  

    ISSN: 1342-6893

  72. Fabrication of FinFET Structure with High Selectivity Etching Using Newly Developed SiN&lt;sub&gt;x&lt;/sub&gt; Etch Gas

    小尻尚志, 小尻尚志, 諏訪智之, 橋本圭市, 寺本章伸, 黒田理人, 須川成利, 須川成利

    電子情報通信学会技術研究報告 115 (362(EID2015 9-24)) 1‐4 2015/12/07

    ISSN: 0913-5685

  73. Electrical Properties of MOSFETs Introducing Atomically Flat Gate Insulator/Silicon Interface (シリコン材料・デバイス)

    後藤 哲也, 黒田 理人, 諏訪 智之

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 115 (280) 17-22 2015/10/29

    Publisher: 電子情報通信学会

    ISSN: 0913-5685

  74. A Device Simulation Study on Tunneling and Diffusion Current Hybrid MOSFET

    古川 貴一, 寺本 章伸, 黒田 理人

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 115 (280) 35-40 2015/10/29

    Publisher: 電子情報通信学会

    ISSN: 0913-5685

  75. A Device Simulation Study on Tunneling and Diffusion Current Hybrid MOSFET

    古川貴一, 寺本章伸, 黒田理人, 諏訪智之, 橋本圭市, 小尻尚志, 須川成利, 須川成利

    電子情報通信学会技術研究報告 115 (280(SDM2015 71-83)) 35-40 2015/10/22

    ISSN: 0913-5685

  76. Study of process temperature of Al&lt;sub&gt;2&lt;/sub&gt;O&lt;sub&gt;3&lt;/sub&gt; atomic layer deposition using high accuracy process gasses supply controller

    杉田久哉, 幸田安真, 諏訪智之, 黒田理人, 後藤哲也, 石井秀和, 山下哲, 寺本章伸, 須川成利, 須川成利, 大見忠弘

    電子情報通信学会技術研究報告 115 (280(SDM2015 71-83)) 63-68 2015/10/22

    ISSN: 0913-5685

  77. 極限制御プロセスを用いた高性能・高機能イメージセンサ技術

    黒田理人

    電子情報通信学会エレクトロニクスソサイエティNEWS LETTER (161) 24-24 2015/07

  78. Pixel Gain and Linearity Range Improvement of CMOS Image Sensor using Floating Capacitor Load Readout Operation

    WAKASHIMA Shunichi, KUSUHARA Fumiaki, KURODA Rihito, SUGAWA Shigetoshi

    ITE Technical Report 39 (0) 41-44 2015

    Publisher: The Institute of Image Information and Television Engineers

    DOI: 10.11485/itetr.39.16.0_41  

    ISSN: 1342-6893

    More details Close

    This paper reports that the floating capacitor load readout operation has higher pixel signal readout gain and wider linear response range than conventional readout operation, and the reason. We fabricated the prototype chip which has 1140^Hx768^V pixels of 2.8 μm pitch with 2 shared pixel technology and verified the effectiveness. When the power supply voltage is 2.0V, floating capacitor load readout operation increases readout gain by 8 % and enlarges linear response range by 47 % in comparison to conventional readout operation using column current source.

  79. Effect of random telegraph noise reduction by atomically flat gate insulator film/Si interface

    KURODA Rihito, OBARA Toshiki, GOTO Tetsuya, AKAGAWA Naoya, KIMOTO Daiki, TERAMOTO Akinobu, SUGAWA Shigetoshi

    ITE Technical Report 39 (0) 35-38 2015

    Publisher: The Institute of Image Information and Television Engineers

    DOI: 10.11485/itetr.39.17.0_35  

    ISSN: 1342-6893

    More details Close

    The atomically flattening technology of gate insulator film/Si interface has been successfully introduced into 0.22 μm CMOS technology with shallow trench isolation process. A reduction of random telegraph noise (RTN) due to the introduction of atomically flat gate insulator/Si interface was confirmed by the measured data from over one million MOSFETs using fabricated array test circuit. The effect of RTN reduction by atomically flat gate insulator film/Si interface is reported.

  80. A CMOS Image Sensor with 240μV/e^- Conversion Gain, 200ke^- Full Well Capacity and 190-1000nm Spectral Response

    NASUNO Satoshi, WAKASHIMA Shunichi, KUSUHARA Fumiaki, KURODA Rihito, SUGAWA Shigetoshi

    ITE Technical Report 39 (0) 49-52 2015

    Publisher: The Institute of Image Information and Television Engineers

    DOI: 10.11485/itetr.39.35.0_49  

    ISSN: 1342-6893

    More details Close

    In this paper, a CMOS image sensor introducing wide spectral sensitive PD technology, small floating diffusion (FD) capacitance technology, lateral overflow integration capacitor (LOFIC) technology and buried channel technology is designed, fabricated and evaluated. A 5.6μm pixel pitch CMOS image sensor was fabricated using a 0.18μm 1P5M CMOS process technology. It achieved a high conversion gain (CG) of 240μV/e^-, a high full well capacity of 200ke^-, a wide spectral response for 190-1000 nm and a high robustness to deuterium lamp used as a UV light.

  81. Analysis and Reduction of Floating Diffusion Capacitance Components and Application to High Sensitivity and High Full Well Capacity CMOS Image Sensor

    KUSUHARA Fumiaki, WAKASHIMA Shunichi, NASUNO Satoshi, KURODA Rihito, SUGAWA Shigetoshi

    ITE Technical Report 39 (0) 53-56 2015

    Publisher: The Institute of Image Information and Television Engineers

    DOI: 10.11485/itetr.39.35.0_53  

    ISSN: 1342-6893

    More details Close

    This paper reports the analysis and reduction technology of components of floating diffusion (FD) capacitance (C_<FD>) and its application to a high sensitivity and high full well capacity CMOS image sensor. We analyzed the result of C_<FD> components extracted by the developed test patterns, and proposed FD structure with non-LDD and low concentration diffusion layer to reduce C_<FD>. CMOS image sensor which has 360^H×1680^V pixels fabricated by 0.18μm CMOS process technology with lateral overflow integration capacitor (LOFIC), dual gain column amplifier, floating capacitor load readout operation, buried channel pixel source follower (SF) transistor and low C_<FD> device structure was evaluated and it exhibited 243 μV/e^- of conversion gain (CG), 0.46e^-_<rms> of readout noise, and 76ke^- of full well capacity (FWC).

  82. Introduction of Atomically Flattening of Silicon Surface in Shallow Trench Isolation Process Technology

    GOTO Tetsuya, KURODA Rihito, AKAGAWA Naoya, SUWA Tomoyuki, TERAMOTO Akinobu, LI Xiang, OBARA Toshiki, KIMOTO Daiki, SUGAWA Shigetoshi, OHMI Tadahiro, KUMAGAI Yuki, KAMATA Yutaka, SHIBUSAWA Katsuhiko

    Technical report of IEICE. SDM 114 (255) 7-12 2014/10/16

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    Atomically flattening technology was introduced to the widely-used complementary metal oxide silicon (CMOS) process employing sallow trench isolation (STI) with 0.22 pm technology. To preserve atomically flat surface, wet etching processes before a gate oxidation were carried out in high-purity N_2 ambience without light. The gate SiO_2 film was formed by Kr/O_2-plasma-generated oxygen radical oxidation to preserve atomically flatness. As a result, metal oxide silicon field effect transistors (MOSFETs) having atomically flat gate insulator/Si interface was realized. Channel stop ion implantation, which avoids generating parasitic channel at the STI edge for sub-threshold region, was also studied. Thanks to the introduction of the atomically flat interface, gate oxide reliability such as breakdown electric field was improved.

  83. Analysis of trap density causing random telegraph noise in MOSFETs

    OBARA Toshiki, TERAMOTO Akinobu, Kuroda Rihito, YONEZAWA Akihiro, GOTO Tetsuya, SUWA Tomoyuki, SUGAWA Shigetoshi, OHMI Tadahiro

    Technical report of IEICE. SDM 114 (255) 55-59 2014/10/16

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    The incidence ratio of Random Telegraph Noise in 131,072 MOSFETs was evaluated statistically by using array test circuit. The Correlation between multi traps in three and four states RTN were evaluated and the number and density of traps that cause RTN were extracted. These analyzing methods are very effective to analyze RTN for reducing it.

  84. Analysis of trap density causing random telegraph noise in MOSFETs

    小原俊樹, 寺本章伸, 黒田理人, 米澤彰浩, 後藤哲也, 諏訪智之, 須川成利, 大見忠弘

    電子情報通信学会技術研究報告 114 (255(SDM2014 84-95)) 55-59 2014/10/09

    ISSN: 0913-5685

  85. High Speed and High Sensitivity CMOS Image Sensors Technologies for Ultimate Imaging Performances

    黒田理人, 須川成利

    電子情報通信学会技術研究報告 114 (120) 37-44 2014/07/03

    Publisher: 電子情報通信学会

    ISSN: 0913-5685

  86. High Speed and High Sensitivity CMOS Image Sensors Technologies for Ultimate Imaging Performances

    38 (26) 37-44 2014/07

    Publisher: 映像情報メディア学会

    ISSN: 1342-6893

  87. Recent R&D trend of high S/N image sensors with one photon-level resolution

    KURODA Rihito

    ITE Technical Report 38 (0) 39-46 2014

    Publisher: The Institute of Image Information and Television Engineers

    DOI: 10.11485/itetr.38.20.0_39  

    ISSN: 1342-6893

    More details Close

    In this paper, requirements for image sensors to achieve photon detection and photon counting are overviewed. And recent R&D trend of high S/N image sensors with one photon-level resolution are overviewed.

  88. Pixel Structure of Ultra-High Speed CMOS Image Sensor with 20Mfps

    Sugawa Shigetoshi, Takubo Kenji, Kondo Yasushi, Miyauchi Ken, Takeda Tohru, Hanzawa Katsuhiko, Tochigi Yasuhisa, Sakai Shin, Kuroda Rihito, Tominaga Hideki, Hirose Ryuta

    ITE Technical Report 38 (0) 19-22 2014

    Publisher: The Institute of Image Information and Television Engineers

    DOI: 10.11485/itetr.38.15.0_19  

    ISSN: 1342-6893

    More details Close

    This paper discussed pixel structures to achieve maximum frame rate of 20Mfps and measurement results such as charge-transfer performance in a high-speed CMOS image sensor.

  89. A Statistical Analysis of Dependencies of Random Telegraph Noise Time Constants on Operation Conditions

    KURODA Rihito, YONEZAWA Akihiro, OBARA Toshiki, TERAMOTO Akinobu, SUGAWA Shigetoshi

    ITE Technical Report 38 (0) 15-18 2014

    Publisher: The Institute of Image Information and Television Engineers

    DOI: 10.11485/itetr.38.15.0_15  

    ISSN: 1342-6893

    More details Close

    This paper reports on the statistical analysis of the dependencies of time constants in random telegraph noise on bias and operation sequence measured by high speed and high precision array test circuit. It was revealed that distribution of root mean square of source follower transistors' temporal output signals decreases when SF transistors are under switched operation condition between on- and off-states than that of continuous operation. The obtained tendency is originated from the difference in dependencies of time-to-capture and time-to-emission on operation bias conditions.

  90. Ultra-High Speed Capturing of Dielectric Breakdown of Metal-Oxide-silicon Capacitor up to 10M frame per second

    SHO Han, KIMOTO Daiki, FURUKAWA Kiichi, SUGO Hidetake, TAKEDA Tohru, MIYAUCHI Ken, TOCHIGI Yasuhisa, KURODA Rihito, SUGAWA Shigetoshi

    ITE Technical Report 38 (0) 13-16 2014

    Publisher: The Institute of Image Information and Television Engineers

    DOI: 10.11485/itetr.38.20.0_13  

    ISSN: 1342-6893

    More details Close

    In this paper, the ultra-high speed (UHS) video capturing results of time dependent dielectric breakdown (TDDB) of MOS capacitor are reported using the UHS camera with the maximum frame rate of 10M frame per second (fps). In order to capture the breakdown, we set a trigger circuit which detects the rapid current increase through the MOS capacitor, which supplies the trigger pulse to the UHS camera. Some movies have succeeded to capture the intermittent emissions of light on some points of the gate during the breakdown. From the movie taken at 1 Mfps, the order of the time interval of the emission of light was about 10 μsec and simultaneous emission of light at multiple points was not observed. From the movie taken at 10 Mfps, the time span of the emission of light was less than 1 μsec.

  91. Linear Photodiode Array Sensors Specialized for Absorption and Emission Spectroscopy with Fast Readout Speed and High Stability to UV Light Exposure

    AKUTSU Takahiro, KAWADA Shun, KODA Yasumasa, NAKAZAWA Taiki, KURODA Rihito, SUGAWA Shigetoshi

    ITE Technical Report 38 (0) 17-20 2014

    Publisher: The Institute of Image Information and Television Engineers

    DOI: 10.11485/itetr.38.20.0_17  

    ISSN: 1342-6893

    More details Close

    This paper reports on the two types of new photodiode array sensors with fast readout speed and high stability to ultraviolet (UV) light exposure. These sensors have high full well capacity for absorption and high sensitivity for emission in each. By introducing multiple readout paths along the long side of the rectangle photodiode (PD), both types have achieved more than 150 times faster readout speed compared with general types. By introducing a PD structure with a thin and steep dopant profile p^+ layer formed on a flattened Si surface, a higher stability of the light sensitivity to UV light exposure was confirmed compared with a general PD structure.

  92. 映像情報メディア年報2013シリーズ(第12回)情報センシングの研究開発動向

    須川成利, 高柳 功, 高橋秀和, 黒田理人, 池辺将之, 浜本隆之, 小室 孝, 香川景一郎, 大竹 浩, 赤井大輔, 鈴木秀征

    映像情報メディア学会誌 67 (11) 972-982 2013/11/01

    Publisher: 一般社団法人 映像情報メディア学会

    DOI: 10.3169/itej.67.972  

    ISSN: 1342-6907

  93. A device structure design of multi-gate MOSFETs based on carrier mobility characteristics of atomically flattened Si surface

    Kuroda Rihito, Nakao Yukihisa, Teramoto Akinobu, Sugawa Shietoshi, Ohmi Tadahiro

    Technical report of IEICE. SDM 113 (247) 15-20 2013/10/17

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    In this work, carrier mobility characteristics of (100), (110), and (551) oriented atomically flattened Si surfaces are reported, and they are utilized to the proposed mobility-based assessment method of speed and noise margin of various fin structure types of multi-gate MOSFETs. The fin structure type with the (551) facet (100) top surface orientations has the best figure-of-merit for intermediate facet height to top surface width ratio of 1.2 to 7.5.

  94. Si photodiode with sensitivity and high stability to UV-light with 100% internal Q.E. and high transmittance on-chip multilayer dielectric stack

    KODA Yasumasa, KURODA Rihito, NAKAO Yukihisa, SUGAWA Shigetoshi

    Technical report of IEICE. SDM 113 (247) 21-25 2013/10/17

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    For sensors with wide light wavelength bandwidth including high ultraviolet light (UV-light) sensitivity and high stability to UV-light, we demonstrated the photodiodes combining the on-chip multilayer dielectric stack using SiO_2 and low extinction coefficient of SiN and the high UV-light sensitivity photodiode technology. These photodiodes with high quantum efficiency (Q.E.) and high stability to UV-light were both successfully obtained and by changing the structure of on-chip multilayer dielectric stack and film thickness, we were able to obtain the photodiode with high external Q.E. at the wavelength we desired in the UV-light region and high stability to UV-light.

  95. Study of Time Constant Analysis in Random Telegraph Noise at the Subthreshold Voltage Region

    YONEZAWA Akihiro, TERAMOTO Akinobu, OBARA Toshiki, KURODA Rihito, SUGAWA Shigetoshi, OHMI Tadahiro

    Technical report of IEICE. SDM 113 (247) 51-56 2013/10/17

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    We extracted time constants of capture and emission of Random Telegraph Noise (RTN), and their dependencies of the gate-source voltage from numerous MOSFETs and discuss the trapping and detrapping processes of carriers at the subthreshold voltage region. The dependence of time to capture on the gate-source voltage can not be determined by the trap depth from the interface and but by the distance between the trap and percolation path and the trap energy level. It is considered that various emission processes caused by tunneling to Si substrate side, tunneling to gate electrode side and tunneling to either Si substrate side or gate electrode side depending on gate-source voltage. Evaluating the time constants individually is indispensable to characterize the trap which causes RTN in subthreshold voltage region.

  96. Si photodiode wiht high sensitivity and high stability to UV‐light with 100% internal Q.E. and high transmittance on‐chip multilayer dielectric stack

    幸田安真, 黒田理人, 中尾幸久, 須川成利

    電子情報通信学会技術研究報告 113 (247(SDM2013 88-98)) 21-25 2013/10/10

    ISSN: 0913-5685

  97. Study of Time Constant Analysis in Random Telegraph Noise at the Subthreshold Voltage Region

    米澤彰浩, 寺本章伸, 小原俊樹, 黒田理人, 須川成利, 大見忠弘

    電子情報通信学会技術研究報告 113 (247(SDM2013 88-98)) 51-56 2013/10/10

    ISSN: 0913-5685

  98. Image Electronics Information Sensing

    Sugawa Shigetoshi, Akai Daisuke, Suzuki Hideyuki, Takayanagi Isao, Takahashi Hidekazu, Kuroda Rihito, Ikebe Masayuki, Hamamoto Takayuki, Komuro Takashi, Kagawa Keiichirou, Ohtake Hiroshi

    The Journal of The Institute of Image Information and Television Engineers 67 (11) 972-982 2013

    Publisher: The Institute of Image Information and Television Engineers

    DOI: 10.3169/itej.67.972  

    ISSN: 1342-6907

  99. A Statistical Evaluation of Random Telegraph Noise of In-pixel Source Follower Equivalent Buried and Surface Channel Transistors

    KURODA Rihito, YONEZAWA Akihiro, TERAMOTO Akinobu, LI Tsung-Ling, TOCHIGI Yasuhisa, SUGAWA Shigetoshi

    ITE Technical Report 37 (0) 19-22 2013

    Publisher: The Institute of Image Information and Television Engineers

    DOI: 10.11485/itetr.37.19.0_19  

    ISSN: 1342-6893

    More details Close

    Using large-scale array test circuit, both static characteristics and random telegraph noise (RTN) of in-pixel source follower equivalent transistors with buried and surface channel structures were statistically evaluated under various current and body bias conditions. The distribution of noise intensities, correlations between RTN amplitude and threshold voltage and subthreshold swing were analyzed. The device optimization guideline of buried channel transistor is discussed.

  100. Si photodiode with high sensitivity and high stability to UV-light using high transmittance on-chip multilayer dielectric stack

    Koda Yasumasa, Kuroda Rihito, Nakazawa Taiki, Nakao Yukihisa, Sugawa Shigetoshi

    ITE Technical Report 37 (0) 37-40 2013

    Publisher: The Institute of Image Information and Television Engineers

    DOI: 10.11485/itetr.37.22.0_37  

    ISSN: 1342-6893

    More details Close

    For sensors with wide light wavelength bandwidth including high ultraviolet light (UV-light) sensitivity and high stability to UV-light, we demonstrated the photodiodes combining the on-chip multilayer dielectric stack using SiO_2 and low extinction coefficient of SiN and the high UV-light sensitivity photodiode technology. These photodiodes with high quantum efficiency (Q.E.) and high stability to UV-light were both successfully obtained and by changing the structure of on-chip multilayer dielectric stack and film thickness, we were able to obtain the photodiode with high external Q.E. at the wavelength we desired in the UV-light region and high stability to UV-light.

  101. A CMOS Image Sensor using Floating Capacitor Load Readout Operation

    WAKASHIMA Shunichi, GODA Yasuyuki, LI Tsung-Ling, KURODA Rihito, SUGAWA Shigetoshi

    ITE Technical Report 37 (0) 33-36 2013

    Publisher: The Institute of Image Information and Television Engineers

    DOI: 10.11485/itetr.37.22.0_33  

    ISSN: 1342-6893

    More details Close

    Floating capacitor load readout operation is a new readout operation of pixel signals which uses neither current source nor additional sample/hold capacitor. We evaluated prototype CMOS image sensor using this readout operation. And we confirmed this readout operation is effective for small chip size, low power consumption and high S/N ratio.

  102. Color reproductivity improvement with additional virtual color filters for White-RGB image sensor

    KAWADA Shun, KURODA Rihito, SUGAWA Shigetoshi

    ITE Technical Report 37 (0) 17-20 2013

    Publisher: The Institute of Image Information and Television Engineers

    DOI: 10.11485/itetr.37.27.0_17  

    ISSN: 1342-6893

    More details Close

    We propose a color reproduction method which based on the estimated spectral reflectance of objects in order to improve the color reproductivity of single chip image sensor with the White-RGB color filter. Virtual color filter information was newly introduced to the spectrum estimation in addition to the physical four color filters (White-RGB). The estimation accuracy was evaluated with the 24 colors in the Macbeth Color Checker. The averaged value of the color difference ΔEab of 24 colors was 7.62 with only the physical RGB color filters. On the other hand, the value was reduced to 1.88 by the new estimation method which includes three physical color filters and the newly added four virtual color filters.

  103. A CMOS Image Sensor with 200-1000 nm Spectral Response and High Robustness to Ultraviolet Light Exposure

    KURODA Rihito, KAWADA Shun, NASUNO Satoshi, NAKAZAWA Taiki, KODA Yasumasa, HANZAWA Katsuhiko, SUGAWA Shigetoshi

    ITE Technical Report 37 (0) 21-24 2013

    Publisher: The Institute of Image Information and Television Engineers

    DOI: 10.11485/itetr.37.40.0_21  

    ISSN: 1342-6893

    More details Close

    In this paper, a 5.6 μm pixel pitch CMOS image sensor with a wide spectral response and high robustness to ultraviolet light (UV-light) exposure is reported. In the in-pixel buried pinned photodiode, a surface thin p^+ layer with a steep dopant profile is uniformly formed on the flattened Si surface to improve the UV-light sensitivity and the stability of the light sensitivity to a strong UV-light exposure. The fabricated chip exhibited a spectral response to the wide light waveband of 200-1000 nm, and the sensitivity degradation did not occur after the strong UV-light exposure stress.

  104. 学部時代のこと,現在のシリコン半導体集積回路の研究

    黒田 理人

    青葉工業会報 (56) 56-58 2012/12

    Publisher: 青葉工業会(東北大学工学部同窓会)

  105. Low Temperature PECVD of High Quality Silicon Nitride for Gate Spacer

    NAKAO Yukihisa, TERAMOTO Akinobu, KURODA Rihito, SUWA Tomoyuki, TANAKA Hiroaki, SUGAWA Shigetoshi, OHMI Tadahiro

    Technical report of IEICE. SDM 112 (263) 21-26 2012/10/18

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    In order to improve the performance of metal oxide semiconductor field effect transistors (MOSFETs), chemically and thermally unstable new materials have been studied and introduced. Thin insulator films to protect these introduced materials from various chemical solutions during integration process are required in order to avoid the chemical instability of these materials. These protection films must be deposited at a low temperature to avoid the thermal instability. In this work, silicon nitride deposited by plasma enhanced chemical vapor deposition (PECVD)as the protection film was investigated. In conventional PECVD, silicon nitride at the sidewall of stepped shape is poor, because it is difficult to get a sufficient ion bombardment energy. In this work, a microwave exited plasma source that can produce a high density plasma more than 10^<11>cm^<-3> at low ion energy was used in order to improve the quality of silicon nitride at the sidewall. We obtained high quality silicon nitride at the sidewall deposited at 400℃, because a large amount of nitridation precursors against SiH_4 based precursors were supplied due to the optimization of process parameters. We fabricated MIS capacitors to evaluate the electrical properties of silicon nitride with various SiH_4 flow rate. It was found that Interface trap density between silicon nitride/Si and bulk trap density of silicon nitride can be reduced by decreasing SiH_4 flow rate. It was demonstrated that silicon nitride in this work can be applied to the gate spacer in terms of both the HF resistance and the electrical properties.

  106. Science-Based New Silicon LSI Technologies : Improvement of Silicon LSI Instead of Current Device Miniaturization

    OHMI Tadahiro, NAKAO Yukihisa, KURODA Rihito, SUWA Tomoyuki, TANAKA Hiroaki, SUGAWA Shigetoshi

    Technical report of IEICE. SDM 112 (263) 27-32 2012/10/18

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    Proposal of device performance improvement of Si LSI facing with complete limitations. By establishing new manufacturing technologies to fabricate LSI on any crystal orientation Si surface, we must develop balanced CMOS consisting of same device dimension nMOS and pMOS by (551) surface accumulation mode CMOS leading to realization of full CMOS system LSI..

  107. 高速CMOSイメージセンサによる毎秒1000万コマ以上の撮像

    須川成利, 栃木靖久, 宮内健, 武田徹, 黒田理人

    映像情報メディア学会年次大会講演予稿集(CD-ROM) 2012 ROMBUNNO.19-8 2012/08/08

    ISSN: 1880-6961

  108. 原子オーダー平坦ゲート絶縁膜/シリコン界面を有する金属―絶縁膜―半導体デバイスの高性能化

    黒田 理人

    翠巒 (26) 6-6 2012/03

    Publisher: 財団法人青葉工学振興会

  109. 19-8 Over-10Mfps Images Using a High-Speed CMOS Image Sensor

    SUGAWA Shigetoshi, TOCHIGI Yasuhisa, MIYAUCHI Ken, TAKEDA Tohru, KURODA Rihito

    PROCEEDINGS OF THE ITE ANNUAL CONVENTION 2012 (0) 19-8-1-_19-8-2_ 2012

    Publisher: The Institute of Image Information and Television Engineers

    DOI: 10.11485/iteac.2012.0_19-8-1  

    ISSN: 1343-1846

    More details Close

    The images captured at a rate of more than 10M fps using a high-speed CMOS image sensor are introduced.

  110. A Global-Shutter CMOS Image Sensor with Readout Speed of 1Tpixel/s Burst and 780Mpixel/s Continuous

    Tochigi Yasuhisa, Sugawa Shigetoshi, Hanzawa Katsuhiko, Kato Yuri, Kuroda Rihito, Mutoh Hideki, Hirose Ryuta, Tominaga Hideki, Takubo Kenji, Kondo Yasushi

    ITE Technical Report 36 (0) 9-12 2012

    Publisher: The Institute of Image Information and Television Engineers

    DOI: 10.11485/itetr.36.18.0_9  

    ISSN: 1342-6893

    More details Close

    A 400^H×250^V pixels global shutter CMOS image sensor including 128 on-chip memories for each pixel has been designed and fabricated. This CMOS image sensor achieves both 1Tpixel/s burst video operation without active cooling and 780Mpixel/s continuous video operation on the same chip.

  111. Photodiode Dopant Profile with Atomically Flat Si Surface for High Sensitivity and Stability to UV-light

    Nakazawa Taiki, Kuroda Rihito, Koda Yasumasa, Sugawa Shigetoshi

    ITE Technical Report 36 (0) 19-22 2012

    Publisher: The Institute of Image Information and Television Engineers

    DOI: 10.11485/itetr.36.20.0_19  

    ISSN: 1342-6893

    More details Close

    For sensors with high ultraviolet light (UV-light) sensitivity and high stability to UV-light, photodiodes with various surface high concentration layer profiles formed on the atomically flat Si surface were evaluated to investigate the relationships between the surface photo-generated carrier drift layer and sensitivity and stability to UV-light. It was clarified that the change of UV-light sensitivity due to UV-light exposure is caused by the generation of fixed charges in SiO_2 and traps near the Si/SiO_2 interface. Finally, photodiode dopant profile for high sensitivity and stability to UV-light was proposed.

  112. On the relation between interface flattening effect and insulator breakdown characteristic of radical reaction based insulator formation technology

    KURODA Rihito, TERAMOTO Akinobu, LI Xiang, SUWA Tomoyuki, SUGAWA Shigetoshi, OHMI Tadahiro

    Technical report of IEICE. SDM 111 (249) 21-26 2011/10/13

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    Gate insulator films formed by radical reaction based insulator formation technology are known to exhibit lower leakage current across ultrathin gate insulator films and lower 1/f noise compared to thermal oxides. However, a high probability of gate insulator early breakdowns has been a big stumbling block to be solved. This paper reports on the suppression of early breakdown probability by the combination of radical oxidation and atomically flat Si surface, and its clarified mechanism of the improvement based on the interface flattening effect of the radical oxidation process.

  113. Reduction of Random Telegraph Noise with Broad Channel MOSFET

    SUZUKI Hiroyoshi, KURODA Rihito, TERAMOTO Akinobu, YONEZAWA Akihiro, MATSUOKA Hiroaki, NAKAZAWA Taiki, ABE Kenichi, SUGAWA Shigetoshi, OHMI Tadahiro

    Technical report of IEICE. SDM 111 (249) 5-9 2011/10/13

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    Drastic reduction of random telegraph noise (RTN) is demonstrated due to the broad channel MOSFET structure. We found that suppressing the channel percolation and the reducing the trap's coulomb blockade effect by the channel broadness and the trap-channel distance separation are the keys to the RTN reduction, leading to the developments of low noise circuits.

  114. Statistical Evaluations of Generation and Recovery Characteristics of Anomalous Stress Induced Leakage Current

    INATSUKA Takuya, KUMAGAI Yuki, KURODA Rihito, TERAMOTO Akinobu, SUGAWA Shigetoshi, OHMI Tadahiro

    Technical report of IEICE. SDM 111 (249) 11-16 2011/10/13

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    We have statistically evaluated anomalous stress induced leakage current (SILC) which is generated by electric stress applied to gate oxide. Anomalous SILC indicates much larger current density than average SILC, which is critical problem to Flash memories. Our previous study has reported discrete generation and recovery of anomalous SILC which depend on measurement temperature and stress time. In this paper we have investigated characteristics of anomalous SILC in time domain to clarify that recovery characteristics are much different between normal and anomalous SILCs. Furthermore we have investigated dependences of recovery tendencies on temperature and stress conditions.

  115. レーザー共焦点微分干渉顕微鏡による超平坦Si(100)表面の原子ステップ観察

    安田興平, 文鋭, 金潤根, 小林慎一郎, 吹留博一, 諏訪智之, 黒田理人, 李翔, 寺本章伸, 大見忠弘, 板谷謹悟

    化学系学協会東北大会プログラムおよび講演予稿集 2011 206 2011/09/17

  116. Low Series Resistance CMOS Source/Drain Electrode Formation Technology using Dual Silicide

    KURODA Rihito, NAKAO Yukihisa, SUGAWA Shigetoshi, TANAKA Hiroaki, TERAMOTO Akinobu, MIYAMOTO Naoto, OHMI Tadahiro

    2011 (35) 5-10 2011/03/01

  117. Highly Ultraviolet Light Sensitive and Highly Reliable Photodiode With Atomically Flat Si Surface

    KURODA Rihito, NAKAZAWA Taiki, KODA Yasumasa, HANZAWA Katsuhiko, SUGAWA Shigetoshi

    ITE Technical Report 35 (0) 25-31 2011

    Publisher: The Institute of Image Information and Television Engineers

    DOI: 10.11485/itetr.35.47.0_25  

    ISSN: 1342-6893

    More details Close

    This reports demonstrates the highly ultraviolet light sensitive and highly reliable photodiode using atomically flat Si surface. Technologies to atomically flatten Si(100) orientated surface and integration process technologies to preserve the atomic flatness are summarized. The key technologies to form the ultrathin and uniform surface photo-generated carrier drift layer and its effectiveness to the sensitivity improvement to ultraviolet light and reliability improvement to ultraviolet light exposure are reported.

  118. A prototype high-speed CMOS image sensor with 10M burst-frame rate and 10K continuous-frame rate

    Sugawa Shigetoshi, Tochigi Yasuhisa, Hanzawa Katsuhiko, Kato Yuri, Akahane Nana, Kuroda Rihito

    ITE Technical Report 35 (0) 27-30 2011

    Publisher: The Institute of Image Information and Television Engineers

    DOI: 10.11485/itetr.35.17.0_27  

    ISSN: 1342-6893

    More details Close

    Aiming for the realization of the high-speed CMOS image sensor, required in advanced R&D and scientific instrumentation field, that has approximately 100,000 pixels and achieves both burst image capturing at over-10M fps with over-100 images and continuous image capturing at 10K fps by a single chip without cooling, a prototype 72^H×32^V highspeed CMOS image sensor with 48^H×48^Vμm^2 pixels has been designed and fabricated and the results of experiments are discussed.

  119. An improvement in color reproductivity of whole gamut including emerald green and yellow using a WRGB LOFIC CMOS image sensor

    KAWADA Shun, GODA Yasuyuki, KURODA Rihito, SUGAWA Shigetoshi

    ITE Technical Report 35 (0) 33-35 2011

    Publisher: The Institute of Image Information and Television Engineers

    DOI: 10.11485/itetr.35.19.0_33  

    ISSN: 1342-6893

    More details Close

    This paper reports improvement of color reproductivity with a new color matrix using the WRGB LOFIC CMOS image sensor which we had developed. A new signal which includes emerald green and yellow (EGY) ingredients is extracted from WRGB signals. And a linear matrix which is made up of the RGB and the EGY signals realizes high accurate color correction with a simple matrix calculation compared with a linear matrix made up only of the RGB signals.

  120. High S/N Readout Operation for 10Mfps high Speed CMOS Image Sensor

    Tochigi Yasuhisa, Hanzawa Katsuhiko, Kato Yuri, Akahane Nana, Kuroda Rihito, Sugawa Shigetoshi

    ITE Technical Report 35 (0) 37-40 2011

    Publisher: The Institute of Image Information and Television Engineers

    DOI: 10.11485/itetr.35.19.0_37  

    ISSN: 1342-6893

    More details Close

    Aiming for the realization of the high-speed CMOS image sensor that achieves both burst image capturing at over-10M fps and continuous image capturing at 10K fps by a shingle chip, a prototype 72^H×32^V high-speed CMOS image sensor with 104 analog memories per pixel has been designed and fabricated. In this paper, we report the signal readout operations and trigger operations for both burst image capturing and continuous image capturing.

  121. Low Resistance Source/Drain Contacts with Low Schottky Barrier for High Performance Transistors

    TANAKA Hiroaki, KURODA Rihito, NAKAO Yukihisa, TERAMOTO Akinobu, SUGAWA Shigetoshi, OHMI Tadahiro

    IEICE technical report 110 (241) 25-30 2010/10/14

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    Formation process between high doped region and silicide contact that have low contact resistivity in the source/drain region has been developed in order to reduce the series resistance of the transistor. By Pd silicide for p^+ region and Er silicide for n^+ region were selected, we realized a low Schottky barrier height of 0.3eV. The change of the growth stage at the silicidation process is varied with the Si surface orientation. Process of contact formation is optimized theoretically and the very low contact resistivity of less than 10^<-9>Ωcm^2 is realized by Pd_2Si for p^+ region and ErSi_2 for n^+ region.

  122. High current drivability transistors with optimized silicides for n^+- and p^+-Si

    NAKAO Yukihisa, KURODA Rihito, TANAKA Hiroaki, TERAMOTO Akinobu, SUGAWA Shigetoshi, OHMI Tadahiro

    IEICE technical report 109 (257) 1-6 2009/10/22

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    Formation process of silicide/silicon contact with low contact resistance in the source/drain regions has been developed in order to reduce the source/drain electrodes series resistance of MOSFETs. Er that has a low Schottky barrier height (SBH) for electrons and Pd that has a low SBH for holes were selected to n^+-, p^+-Si respectively. Silicide formation process were done in N_2 ambient during from the silicon surface cleaning before metal depositions to silicidation anneal in order not to expose metal and silicon-region to be oxidized. W capping layer is applied to Er surface for preventing Er from being oxidized, because Er is very low work function and is very easily oxidized. These silicides formation process were applied to MOSFETs and high current drivability can be achieved by reduction of series resistance. When these silicides are applied to MOSFETs, it is found to be effective for the reduction of the series resistance of CMOS in sub-100nm generation.

  123. High current drivability transistors with optimized silicides for n&lt;sup&gt;+&lt;/sup&gt;‐ and p&lt;sup&gt;+&lt;/sup&gt;‐Si

    中尾幸久, 黒田理人, 田中宏明, 寺本章伸, 須川成利, 大見忠弘

    電子情報通信学会技術研究報告 109 (257(SDM2009 117-134)) 1-6 2009/10/22

    ISSN: 0913-5685

  124. A Checkered WRGB LOFIC CMOS Image Sensor for Almost the Same Saturation Illuminance

    KAWADA Shun, SAKAI Shin, AKAHANE Nana, KURODA Rihito, SUGAWA Shigetoshi

    ITE Technical Report 33 (0) 21-24 2009

    Publisher: The Institute of Image Information and Television Engineers

    DOI: 10.11485/itetr.33.56.0_21  

    ISSN: 1342-6893

    More details Close

    We have developed a WRGB CMOS image sensor with lateral overflow integration capacitor (LOFIC) technology in order to achieve almost the same saturation exposure with wide dynamic range. The WRGB LOFIC CMOS image sensor with a 1/3.3-inch optical format, 1280^H×480^V pixels, 4.2-μm effective pixel pitch with 45° direction was designed and fabricated through 0.18-μm 2-Poly 3-Metal CMOS technology with buried pinned photodiode process. This image sensor has achieved about 108-μV/e^- high conversion gain and about 102-dB dynamic range performance in one exposure.

  125. 原子オーダ平坦化ウェハ表面のAFM評価手法及びデータ解析手法

    譽田正宏, 寺本章伸, 諏訪智之, 黒田理人, 大見忠弘

    電子情報通信学会技術研究報告 108 (236) 75-78 2008/10/02

    Publisher: 一般社団法人電子情報通信学会

    ISSN: 0913-5685

  126. Statistical Analyses of Random Telegraph Signals in the Transistors Equivalent to Pixel Source Followers Using a Large‐scale Array TEG

    須川成利, 阿部健一, 藤澤孝文, 渡部俊一, 黒田理人, 宮本直人, 寺本章伸, 大見忠弘

    映像情報メディア学会技術報告 32 (19(IST2008 8-18/CE2008 21-31)) 9-12 2008/03/19

    Publisher: 映像情報メディア学会

    ISSN: 1342-6893

  127. High Performance Accumulation Mode FD-SOI MOSFETs on Si(100) and (110) Surfaces

    CHENG W., TERAMOTO A., KURODA R., TYE C., WATABE S., SUWA T., GOTO T., IMAIZUMI F., SUGAWA S., OHMI T.

    IEICE technical report 107 (245) 45-48 2007/09/27

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    We have been successful in realizing a very high performance novel balanced CMOS on Si(110) and high performance MOSFETs on Si(100) using accumulation-mode devices. We dramatically improve the oscillation performance using the novel accumulation mode FD-SOI balanced CMOS on Si(110) surface. This technology is very useful for realizing advanced high performance analog/digital mixed circuits.

  128. Atomically Flat Silicon Surface Technology

    SUWA Tomoyuki, KURODA Rihito, TERAMOTO Akinobu, OHMI Tadahiro

    IEICE technical report 107 (245) 57-59 2007/09/27

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    In this paper, atomically flat silicon surface can be realized by annealing at 1200℃ in Argon gas atmosphere, and it is found that the relationship between atomic terrace width and off angle from just (100) orientation is provided. Moreover, it is found that off angle and off direction significantly affect the atomically flat surface morphology.

  129. Statistical Analysis of Random Telegraph Signal Using Large-scale Array Test Element Group (TEG)

    ABE Kenichi, SUGAWA Shigetoshi, KURODA Rihito, WATABE Syunichi, TERAMOTO Akinobu, OHMI Tadahiro

    IEICE technical report 107 (245) 65-68 2007/09/27

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    In this work, we propose a statistical evaluation technique of Random Telegraph Signal using a novel Test Element Group (TEG) which can measure a huge number of MOSFETs' electrical characteristics and RTS characteristics in a short time. This method enables us to find MOSFETs having RTS behavior, which are really rare samples easily and to reduce the amount of time for the measurement and the analysis. From the analysis using the method, RTS appearance probabilities and noise intensities increase as gate size is scaled down. These properties also change drastically depending on drain current and back-gate bias voltage.

  130. High Performance and Highly Reliable Novel CMOS Devices Using Accumulation Mode Fully Depleted SOI MOSFETs

    CHENG W., TERAMOTO A., KURODA R., GAUBERT P., TYE C., HIRAYAMA M., SUGAWA S., OHMI T.

    IEICE technical report 106 (277) 57-61 2006/09/28

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    We demonstrate that the electron and hole mobilities are improved on both Si (100) and (110) surfaces using accumulation mode (AM) MOSFETs because of the bulk current and lower effective field in the same overdrive bias. Flicker noise characteristics are improved dramatically at AM MOSFETs compared with that at conventional inversion mode (IM) devices. Finally, we demonstrate the negative bias temperature instability (NBTI) characteristics in AM devices are improved about one decade compared with that in IM devices.

  131. Development of NBTI Lifetime Prediction Method and Evaluation Method using Hole Injection Technique

    TERAMOTO Akinobu, WATANABE Kazufumi, KURODA Rihito, MIFUJI Michihiko, YAMAHA Takahisa, SUGAWA Sigetoshi, OHMI Tadahiro

    IEICE technical report 105 (434) 13-18 2005/11/25

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    Negative Bias Temperature Instability (NBTI) is a crucial issue in reliability since NBTI limits the lifetime of CMOS circuits. To clarify a degradation mechanism of NBTI and develop a model of the degradation caused by the NBT stress, many researchers spent their efforts and discuss the mechanisms of NBTI. In general, high gate and high temperature are applied to accelerate the degradation. These conditions are far from the operation condition of pMOSFETs and might cause the excess degradations during the NBT stress. Especially, the high gate voltage stress can easily change the degradation mechanism so it is difficult to predict the accurate NBTI lifetime when stressing the devices in the high gate voltage condition. In this paper, we develop the NBTI lifetime prediction method using a hole injection method. This hole injection method do not use the high gate voltage in order to accelerate the degradation therefore we can evaluate the actual degradation in the operation condition. Finally, the NBTI lifetime prediction is demonstrated.

  132. Development of NBTI Lifetime Prediction Method and Evaluation Method using Hole Injection Technique

    TERAMOTO Akinobu, WATANABE Kazufumi, KURODA Rihito, MIFUJI Michihiko, YAMAHA Takahisa, SUGAWA Sigetoshi, OHMI Tadahiro

    IEICE technical report 105 (435) 13-18 2005/11/18

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    Negative Bias Temperature Instability (NBTI) is a crucial issue in reliability since NBTI limits the lifetime of CMOS circuits. To clarify a degradation mechanism of NBTI and develop a model of the degradation caused by the NBT stress, many researchers spent their efforts and discuss the mechanisms of NBTI. In general, high gate and high temperature are applied to accelerate the degradation. These conditions are far from the operation condition of pMOSFETs and might cause the excess degradations during the NBT stress. Especially, the high gate voltage stress can easily change the degradation mechanism so it is difficult to predict the accurate NBTI lifetime when stressing the devices in the high gate voltage condition. In this paper, we develop the NBTI lifetime prediction method using a hole injection method. This hole injection method do not use the high gate voltage in order to accelerate the degradation therefore we can evaluate the actual degradation in the operation condition. Finally, the NBTI lifetime prediction is demonstrated.

  133. Development of NBTI Lifetime Prediction Method and Evaluation Method using Hole Injection Technique

    TERAMOTO Akinobu, WATANABE Kazufumi, KURODA Rihito, MIFUJI Michihiko, YAMAHA Takahisa, SUGAWA Sigetoshi, OHMI Tadahiro

    IEICE technical report 105 (436) 13-18 2005/11/18

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    Negative Bias Temperature Instability (NBTI) is a crucial issue in reliability since NBTI limits the lifetime of CMOS circuits. To clarify a degradation mechanism of NBTI and develop a model of the degradation caused by the NBT stress, many researchers spent their efforts and discuss the mechanisms of NBTI. In general, high gate and high temperature are applied to accelerate the degradation. These conditions are far from the operation condition of pMOSFETs and might cause the excess degradations during the NBT stress. Especially, the high gate voltage stress can easily change the degradation mechanism so it is difficult to predict the accurate NBTI lifetime when stressing the devices in the high gate voltage condition. In this paper, we develop the NBTI lifetime prediction method using a hole injection method. This hole injection method do not use the high gate voltage in order to accelerate the degradation therefore we can evaluate the actual degradation in the operation condition. Finally, the NBTI lifetime prediction is demonstrated.

  134. EOT Measurement by LC Resonance Method for Ultra Thin Gate Dielectrics

    KURODA Rihito, TERAMOTO Akinobu, KOMURA Masanori, WATANABE Kazufumi, SUGAWA Shigetoshi, OHMI Tadahiro

    IEICE technical report 105 (318) 21-26 2005/10/07

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    An EOT measurement method for ultra thin gate dielectrics using LC resonance is demonstrated in this paper. In this method, a series inductance L and a resistance R are added in parallel to the MOS device to cause the LC resonance. Frequency characteristic of the impedance of the MOS device with the LR circuit is measured when a measurement gate voltage is applied. Then, unknown parameters of the MOS device are fitted so that the calculation results fit to the measured results. L value optimization method is used to obtain the optimal impedance-frequency curve for the fitting. Introducing the three elements model for the MOS device, LC resonance method can measure the EOT of an ultra thin gate dielectric MOS device with large leakage current that other methods such as high frequency CV method cannot be applied.

  135. EOT Measurement by LC Resonance Method for Ultra Thin Gate Dielectrics

    黒田理人, 寺本章伸, 小村政則, 渡辺一史, 須川成利, 大見忠弘

    電子情報通信学会技術研究報告 105 (318(SDM2005 180-191)) 21-26 2005/09/30

    ISSN: 0913-5685

Show all ︎Show first 5

Books and Other Publications 3

  1. 薄膜作製応用ハンドブック

    権田, 俊一, 酒井, 忠司, 田畑, 仁, 八瀬, 清志, 宮崎, 照宣

    エヌ・ティー・エス 2020/02

    ISBN: 9784860436315

  2. Rihito Kuroda and Shigetoshi Sugawa

    Kinko Tsuji, Werner Lauterborn, Thomas Kurz, Guillaume Lajoinie, Nico de Jong, Michel Versluis, Takeharu G. Etoh, Quang A. Nguyen, Rihito Kuroda, Shigetoshi Sugawa, Harald Kleine, Kazuyoshi Takayama, François Hild, Amine Bouterf, Pascal Forquin, Stéphane Roux, Christian Freitag, Thomas Arnold, Meiko Boley, Sebastian Faas, Florian Fetzer, Christian Hagenlocher, Andreas Heider, Michael Jarwitz, Rudolf Weber, Thomas Graf, Alexander Rack, Margie Olbinado, Mario Scheel, Benjamin Jodar, John Morse, Marcus Aldén, Mattias Richter, Nobuyuki Kawahara, Alexander Stolz, Malte von Ramin, Daniel Schmitt, Hartmut Hieronymus, Kenneth R, Langley, Er Q. Li, Sigurdur T. Thoroddsen, Stefan C. Müller, Valeria Garbin

    Springer International Publishing 2017/09

    ISBN: 9783319614915

  3. 黒田 理人

    野辺 継男, 黒田 理人, 蚊野 浩, 木股 雅章, 田村 哲雄, 小川 新平, 大橋 洋二, 青柳 靖, 桑原 義彦, 亀井 利久, 政田 元太, 木津 巧一, 平尾 朋三, 篠塚 哲, 馬路 徹, 佐藤 智和, 緒方 健人, 橋本 雅文, 西田 健, 石沢 千佳子, 佐藤 淳, 柴田 啓司, 加藤 ジェーン, 内村 圭一, 山口 順一, 山口 弘純, 渡邊 直幸, 片山 硬, 伊東 敏夫, 花泉 弘, 川西 康友, 秋田 時彦, 山下 隆義, ポンサトーン, ラクシンチャラーンサク, 山田 啓一, 金澤 靖, 高取 祐介, 小山 善文, 小野口 一則, 原 孝介, 木下 航一, 森島 繁生, 佐藤 優伍, 宇野 新太郎, 佐藤 健哉, 藤本 暢宏, 大柴 小枝子, 倉地 亮, 齊藤 智明, 味岡 恒夫, 駒田 隆彦, 中山 幸二

    (株)技術情報協会 2017/05/31

    ISBN: 9784861046582

Presentations 91

  1. A Global Shutter Wide Dynamic Range Soft X-ray CMOS Image Sensor with BSI Pinned Photodiode, Two-stage LOFIC and Voltage Domain Memory Bank Invited

    黒田 理人

    次世代画像ビジョンシステム部会定例会(第191回・オンライン) 2021/04/28

  2. A High SNR Wide Spectral Response CMOS Image Sensor Technology for Smart Sensing Invited

    Rihito Kuroda

    4th International Symposium on Devices, Circuits and Systems 2021/03/03

  3. IEDM2020を振り返って Invited

    黒田理人

    応用物理学会シリコンテクノロジー・電子情報通信学会シリコン材料・デバイス研究会, ULSIデバイス・プロセス技術(IEDM2020特集) 2021/01/28

  4. 超高速イメージセンサ、紫外域イメージセンサ技術 Invited

    黒田 理人

    電子情報技術産業協会第4回「新機能イメージングデバイスおよび周辺技術分科会」 2020/10/23

  5. 半導体デバイスにおける欠陥評価 ~イメージセンサ・欠陥・プロセス~ Invited

    黒田理人

    第34回プラズマ新領域研究会「プラズマプロセスにおける欠陥生成に関する新生面」研究会 2020/10/21

  6. イメージング・デバイスの技術動向 Invited

    黒田 理人

    独立行政法人日本学術振興会 半導体界面制御技術 第154委員会 第115回研究会 2020/01/21

  7. Advanced CMOS image sensor technologies for sensing applications in the era of IoT Invited

    Rihito Kuroda, Shigetoshi Sugawa

    The Sixth Symposium on Novel Optoelectronic Detection Technology and Application (NDTA2019) 2019/12/04

  8. 広光波長帯域・広ダイナミックレンジCMOSイメージセンサ Invited

    黒田 理人

    光とレーザーの科学技術フェア2019 究極を目指すイメージセンシングセミナー 2019/11/13

  9. Measurement and Analysis Technologies of RTS Noise Toward Advanced CMOS Image Sensors Development Invited

    Rihito Kuroda

    2019/11/08

  10. A 24.3Me- Full Well Capacity CMOS Image Sensor with Lateral Overflow Integration Trench Capacitor for High Precision Near Infrared Absorption Imaging Invited

    KURODA Rihito

    2019/03/01

  11. IEDM2018 を振り返って Invited

    黒田 理人

    応用物理学会シリコンテクノロジー・電子情報通 信学会シリコン材料・デバイス研究会, ULSI デバイス・プロセス技術(IEDM2018 特集) 2019/01/29

  12. RTS noise characterization and suppression for advanced CMOS image sensors International-presentation Invited

    Rihito Kuroda, Shinya Ichino, Takezo Mawaki, Tomoyuki Suwa, Akinobu Teramoto, Shigetoshi Sugawa

    4th International Workshop on Image Sensors and Imaging Systems 2018/11/28

  13. 紫外-可視-近赤外光帯域・高感度イメージセンサと分光イメージングへの 応用展開 Invited

    黒田 理人

    光とレーザーの科学技術フェア2018 イメージセンサーオープンセミナー 2018/11/14

  14. Over 100Mfps high speed global shutter CMOS image sensor International-presentation Invited

    Rihito Kuroda, Manabu Suzuki, Shigetoshi Sugawa

    32nd International Congress on High-Speed Imaging and Photonics 2018/10/09

  15. High Speed Global Shutter CMOS Image Sensors Toward Over 100Mfps, International-presentation Invited

    Rihito Kuroda, Manabu Suzuki, Shigetoshi Sugawa

    Ultrafast imaging and particle tracking instrumentation and methods 2018 2018/09/14

  16. Optoelectronics, Display, and Imagers

    KURODA Rihito

    2018/02/02

  17. 高速化・高感度化技術の今後 Invited

    黒田 理人

    次世代画像入力ビジョンシステム部会・映像情報メディア学会共催公開講演会『イメージセンサ30年の進歩と更なる発展』 2018/01/11

  18. 撮像速度1000万コマ/秒を超える高速度CMOSイメージセンサ技術の進展

    高速度イメージングとフォトニクスに関する総合シンポジウム2017 2017/11/15

  19. 画素SFで発生するランダムテレグラフノイズの統計的解析 ~ トランジスタ形状・時定数・遷移数の影響 ~

    映像情報メディア学会技術報告・情報センシング研究会 2017/09/25

  20. Impact of Random Telegraph Noise with Various Time Constants and Number of States in CMOS Image Sensors International-presentation

    International Image Sensor Workshop 2017/05/30

  21. 撮像速度1000万コマ/秒を超える高速度CMOSイメージセンサの高感度化・多記録枚数化

    次世代画像入力ビジョンシステム部会第171回定例会 2017/03/27

  22. 急峻pn接合Siダイオード技術を用いた高感度・高速性能低加速電圧電子線検出器

    映像情報メディア学会技術報告・情報センシング研究会 2017/03/10

  23. A high sensitivity and high readout speed electron beam detector using steep pn junction Si diode technology for low acceleration voltage

    黒田理人, 幸田安真, 原昌也, 角田博之, 須川成利

    映像情報メディア学会技術報告 2017/03/03

  24. 【IEDM 報告会】 Optoelectronics, Display, and Imagers

    IEEE EDS Japan Chapter 総会および IEDM 報告会 2017/02/15

  25. 広光波長帯域イメージセンサ技術と分光イメージングへの展開

    次世代画像入力ビジョンシステム部会第170回定例会「次世代イメージセンサと新技術の展開」 2017/01/23

  26. [パネル討論会] 「次世代イメージセンサと新技術の展開」

    佐藤宏, 大池裕輔(ソ, 大竹浩(NHK技術研究所, 徐珉雄, 静

    次世代画像入力ビジョンシステム部会第170回定例会「次世代イメージセンサと新技術の展開」 2017/01/23

  27. 画素毎の接続を有する3次元積層を用いた先進グローバルシャッタCMOSイメージセンサ技術

    SEMICON Japan2016 TechSTAGE [STS 先端デバイス・プロセスセッション(2)] 2016/12/16

  28. A Dead-time free global shutter stacked CMOS image sensor with in-pixel LOFIC and ADC using pixel-wise connections International-presentation

    3rd International Workshop on Image Sensors and Imaging Systems 2016/11/17

  29. A High Sensitivity 20Mfps CMOS Image Sensor with Readout speed of 1Tpixel/sec for Visualization of Ultra-high Speed Phenomena International-presentation

    The 31st International Congress on High-speed Imaging and Photonics 2016/11/09

  30. Panel Discussion “Expansion and Fusion of the High-speed Imaging World" -From Attosecond Pump&Probe Imaging to 10-fps AFM Imaging of Stepping Myosin-" International-presentation

    Takaki Hatsui (SACLA, Baoli Yao, ute, of, Shngo Kagami, Tohoku University, Urich Trunk (DESY, T. Goji Etoh, Osaka Uni

    The 31st International Congress on High-speed Imaging and Photonics 2016/11/09

  31. 画素毎の接続を用いた画素内に横型オーバーフロー蓄積容量およびAD変換器を有する露光時間途切れのないグローバルシャッタ積層型CMOSイメージセンサ

    映像情報メディア学会 情報センシング研究会 2016/09/26

  32. A Dead-time Free Global Shutter CMOS Image Sensor with in-pixel LOFIC and ADC using Pixel-wise Connections"

    IEEE SSCS Kansai Chapterで技術セミナー Symposium on VLSI Circuits 2016報告会とDL講演会 2016/06/24

  33. Random Telegraph Noise Measurement and Analysis based on Arrayed Test Circuit toward High S/N CMOS Image Sensors International-presentation

    29th IEEE International Conference on Microelectronic Test Structures 2016/03/29

  34. Wide dynamic range LOFIC CMOS image sensors: principle, achievements and extendibility International-presentation

    International Forum on Detectors for Photon Science 2016/02/28

  35. CMOSイメージセンサの高速化・高感度化・広光波長帯域化技術

    第191回研究集会 シリコンテクノロジー分科会ナノ・接合技術研究会「接合技術の新展開」 2016/02/27

  36. Advanced CMOS Image Sensor Development International-presentation

    Tohoku Univ. - imec Seminar 2015 Sendai Symposium on Analytical Science 2015 Joint Seminar on "Unobtrusive Sensing & Daily Health Screening" 2015/11/13

  37. A 80% QE High Readout Speed 1024 Pixel Linear Photodiode Array for UV-VIS-NIR Spectroscopy International-presentation

    2015 International Image Sensor Workshop 2015/06/08

  38. ゲート絶縁膜/Si界面の原子オーダー平坦化によるランダムテレグラフノイズ低減効果

    映像情報メディア学会 情報センシング研究会 2015/05/08

  39. UV/VIS/NIR imaging technologies: challenges and opportunities International-presentation

    2015 SPIE Sensing Technology + Applications 2015/04/20

  40. Wide spectral response and highly robust Si image sensor technology International-presentation

    2nd Asian Image Sensor and Imaging System Symposium 2014/12/01

  41. 高機能CMOSイメージセンサ技術

    プラナリゼーションCMPとその応用技術専門委員会 第136回研究会【イメージセンサー/3次元集積回路の最前線と加工技術 2014/10/10

  42. 最高1000万コマ/秒の超高速動画撮像を用いた酸化膜破壊現象の動的観測と解析

    2014 International Reliability Physcis Symposium報告会 2014/10/03

  43. 極限性能を追求する高速,高感度CMOSイメージセンサ技術

    須川 成利

    映像情報メディア学会 情報センシング研究会 2014/07/04

  44. 極限イメージングの現在とその将来動向

    須川成利, 新井康夫, 香川景一郎, 土屋敏章

    映像情報メディア学会 情報センシング研究会 2014/07/03

  45. High Speed and High Sensitivity CMOS Image Sensors Technologies for Ultimate Imaging Performances

    黒田 理人, 須川 成利

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 2014/07/03

  46. High Speed and High Sensitivity CMOS Image Sensors Technologies for Ultimate Imaging Performances

    黒田 理人, 須川 成利

    映像情報メディア学会技術報告 = ITE technical report 2014/07

  47. A Novel Analysis of Oxide Breakdown Based on Dynamic Observation using Ultra-High Speed Video Capturing Up to 10,000,000 Frames Per Second International-presentation

    2014 IEEE International Reliability Physics Symposium 2014/06/03

  48. 1光子レベルの精度にせまる高S/Nイメージセンサの研究開発動向

    映像情報メディア学会 情報センシング研究会 2014/06/02

  49. Recent R&amp;D trend of high S/N image sensors with one photon‐level resolution

    黒田理人

    映像情報メディア学会技術報告 2014/05/26

  50. ランダムテレグラフノイズ時定数の動作条件依存性の統計的解析

    映像情報メディア学会 情報センシング研究会 2014/03/14

  51. Recent R&D trend of high S/N image sensors with one photon-level resolution

    KURODA Rihito

    ITE Technical Report 2014

    More details Close

    In this paper, requirements for image sensors to achieve photon detection and photon counting are overviewed. And recent R&D trend of high S/N image sensors with one photon-level resolution are overviewed.

  52. Si表面の原子レベル平坦化技術を用いた紫外光高感度・高信頼性イメージセンサ

    日本学術振興会 産学協力研究委員会 半導体界面制御技術第154委員会第89回研究会 2013/11/21

  53. Advanced CMOS Image Sensor Research and Development for Scientific and Consumer-use Imaging International-presentation

    Tohoku University – IMEC Seminar 2013/11/08

  54. 原子レベル平坦化Si表面のキャリアモビリティ特性に基づくマルチゲートMOSFETの構造設計

    シリコン材料・デバイス(電子情報通信学会) 2013/10/17

  55. A device structure design of multi-gate MOSFETs based on carrier mobility characteristics of atomically flattened Si surface

    Kuroda Rihito, Nakao Yukihisa, Teramoto Akinobu, Sugawa Shietoshi, Ohmi Tadahiro

    Technical report of IEICE. SDM 2013/10/17

    More details Close

    In this work, carrier mobility characteristics of (100), (110), and (551) oriented atomically flattened Si surfaces are reported, and they are utilized to the proposed mobility-based assessment method of speed and noise margin of various fin structure types of multi-gate MOSFETs. The fin structure type with the (551) facet (100) top surface orientations has the best figure-of-merit for intermediate facet height to top surface width ratio of 1.2 to 7.5.

  56. A device structure design of multi‐gate MOSFETs based on carrier mobility characteristics of atomically flattened Si surface

    黒田理人, 中尾幸久, 寺本章伸, 須川成利, 大見忠弘

    電子情報通信学会技術研究報告 2013/10/10

  57. 200-1000nmの広光波長帯域に感度を有する高紫外光照射耐性CMOSイメージセンサ

    映像情報メディア学会 情報センシング研究会 2013/09/30

  58. A CMOS Image Sensor Using Column-Parallel Forward Noise-Canceling Circuitry International-presentation

    2013 International Conference on Solid State Devices and Materials 2013/09/25

  59. Carrier Mobility on (100), (110), and (551) Oriented Atomically Flattened Si Surfaces for Multi-gate MOSFETs Device Design International-presentation

    2013 International Conference on Solid State Devices and Materials 2013/09/25

  60. Ultra-high Speed Image Sensors for Scientific Imaging International-presentation

    2013 International Conference on Solid State Devices and Materials 2013/09/25

  61. A FSI CMOS Image Sensor with 200-1000 nm Spectral Response and High Robustness to Ultraviolet Light Exposure International-presentation

    2013 International Image Sensor Workshop 2013/06/12

  62. 画素ソースフォロワ相当の埋め込み・表面チャネルトランジスタのランダム・テレグラフ・ノイズ統計的解析

    映像情報メディア学会 情報センシング研究会 2013/03/22

  63. A statistical evaluation of low-frequency noise of in-pixel source follower-equivalent transistors with various channel types and body bias International-presentation

    IS&T/SPIE Electronic Imaging 2013/02/03

  64. Si Surface Atomic Order Flattening Technology and its Application to Highly Reliable Ultraviolet Light Sensor

    第24回マイクロエレクトロニクス研究会 2012/11/10

  65. A Novel Chemically, Thermally and Electrically Robust Cu Interconnect Structure with an Organic Non-porous Ultralow-k Dielectric Fluorocarbon (k=2.2) International-presentation

    2012 Symposium on VLSI Technology 2012/06/12

  66. 原子レベル平坦化Si表面を用いた紫外光高感度・高信頼性フォトダイオード

    映像情報メディア学会 情報センシング研究会 2011/11/18

  67. Highly Ultraviolet Light Sensitive and Highly Reliable Photodiode with Atomically Flat Si Surface

    黒田理人, 中澤泰希, 幸田安真, 半澤克彦, 須川成利

    映像情報メディア学会技術報告 2011/11/11

  68. Development of Direct-polish Process of CMP and Post-CMP Clean for Next Generation Advanced Cu Interconnects International-presentation

    International Conference on Planarization&CMP 2011/11/09

  69. ラジカル反応ベース絶縁膜形成技術における界面平坦化効果と絶縁膜破壊特性との関係

    シリコン材料・デバイス(電子情報通信学会) 2011/10/20

  70. On the relation between interface flattening effect and insulator breakdown characteristic of radical reaction based insulator formation technology

    黒田理人, 寺本章伸, LI Xiang, 諏訪智之, 須川成利, 大見忠弘

    電子情報通信学会技術研究報告 2011/10/13

    More details Close

    Gate insulator films formed by radical reaction based insulator formation technology are known to exhibit lower leakage current across ultrathin gate insulator films and lower 1/f noise compared to thermal oxides. However, a high probability of gate insulator early breakdowns has been a big stumbling block to be solved. This paper reports on the suppression of early breakdown probability by the combination of radical oxidation and atomically flat Si surface, and its clarified mechanism of the improvement based on the interface flattening effect of the radical oxidation process.

  71. On the Si Surface Flattening Effect and Gate Insulator Breakdown Characteristic of Radical Reaction Based Insulator Formation Technology International-presentation

    2011 International Conference on SOLID STATE DEVICES AND MATERIALS 2011/09/28

  72. Highly Ultraviolet Light Sensitive and Highly Reliable Photodiode with Atomically Flat Si Surface International-presentation

    2011 International Image Sensor Workshop 2011/06/08

  73. デュアルシリサイドを用いた低直列抵抗CMOS ソース/ドレイン電極形成技術

    黒田理人, 中尾幸久, 須川成利, 田中宏明, 寺本章伸, 宮本直人, 大見忠弘

    電気学会電子デバイス研究会「グリーンITにおける化合物半導体電子デバイス」調査専門委員会 2011/03/01

  74. Ultra-low Series Resistance W/ErSi2/n+-Si and W/Pd2Si/p+-Si S/D Electrodes for Advanced CMOS Platform International-presentation

    2010 IEEE International electron device meeting 2010/12/06

  75. Impact of Channel Direction Dependent Low Field Hole Mobility on Si(100) International-presentation

    2010 International Conference on SOLID STATE DEVICES AND MATERIALS 2010/09/22

  76. Impact of Very Low Series Resistance due to Raised Metal S/D Structure with Very Low Contact Resistance Silicide for sub-100-nm nMOSFET International-presentation

    2009 International Conference on SOLID STATE DEVICES AND MATERIALS 2009/10

  77. Atomically Flat Gate Insulator/Silicon (100) Interface Formation Introducing High Mobility, Ultra-low Noise, and Small Characteristics Variation CMOSFET International-presentation

    38th European Solid-State Device Research Conference 2008/09

  78. CMOSFET Featuring Atomically Flat Gate Insulator Film/Silicon Interface on (100) Orientation Surface International-presentation

    2008 International Conference on SOLID STATE DEVICES AND MATERIALS 2008/09

  79. 3-step room temperature wet cleaning process for silicon substrate International-presentation

    9th International Symposium on Ultra Clean Processing of Semiconductor Surfaces 2008/09

  80. Characterization of MOSFETs Intrinsic Performance using In-Wafer Advanced Kelvin-Contact Device Structure for High Performance CMOS LSIs International-presentation

    IEEE International Conference on Microelectronic Test Structures 2008/03

  81. ノーマリオフAccumulation-Mode SOI nMOSFETにおけるHot Carrier Instabilityのメカニズム

    ゲートスタック研究会-材料・プロセス・評価の物理-第12回研究会 2008/01

  82. Technologies for High Performance CMISFETs

    第19回マイクロエレクトロ二クス研究会 2007/11

  83. Modeling and Implementation of Subthreshold Characteristics of Accumulation-Mode MOSFETs for Various SOI Layer Thickness and Impurity Concentrations International-presentation

    2007 IEEE International SOI Conference 2007/10

  84. Performance Comparison of Ultra-thin FD-SOI Inversion-, Intrinsic-and Accumulation- Mode MOSFETs International-presentation

    2007 International Conference on SOLID STATE DEVICES AND MATERIALS 2007/09

  85. Hot Carrier Instability Mechanism in Accumulation-Mode Normally-off SOI nMOSFETs and Their Reliability Advantage International-presentation

    211th Electrochemical Society Meeting 2007/05

  86. Accurate Circuit Performance Prediction Model and Lifetime Prediction Method for NBT Stressed Devices for Highly Reliable ULSI Circuits International-presentation

    IEEE International Conference on IC Design & Technology 2006/05

  87. Accurate Circuit Performance Prediction Model and Lifetime Prediction Method for NBT Stressed Devices for Highly Reliable ULSI Circuits International-presentation

    IEEE International Electron Device Meeting 2005/12

  88. New NBTI Lifetime Prediction Method for Ultra Thin SiO2 Films International-presentation

    208th Electrochemical Society Meeting 2005/10

  89. NEW LIFETIME PREDICTION METHOD FOR PMOSFETS WITH ULTRA THIN GATE FILMS International-presentation

    The 3rd Student-organizing International Mini-Conference on Information Electronics 2005/10

  90. LC共振法による極薄ゲート絶縁膜の電気的膜厚測定法

    電子情報通信学会シリコン材料・デバイス研究会 2005/10

  91. IEDM2019を振り返って Invited

    黒田理人

    応用物理学会シリコンテクノロジー・電子情報通信学会シリコン材料・デバイス研究会, ULSIデバイス・プロセス技術(IEDM2019特集) 2020/01/28

Show all Show first 5

Industrial Property Rights 43

  1. 光センサ装置

    塚越功二, 須川成利, 黒田理人

    特許6886307

    Property Type: Patent

    Holder: エイブリック株式会社、株式会社 東北テクノアーチ

  2. 時分割分光イメージング分析システム及び時分割分光イメージング分析方法

    須川成利, 藤掛英夫, 石鍋隆宏, 黒田理人, 若生一広

    特許6860772

    Property Type: Patent

    Holder: 国立大学法人東北大学、独立行政法人国立高等専門学校機構

  3. 固体光検出器

    須川成利, 黒田理人, 柄澤朋宏, 廣瀬竜太, 古宮 哲夫, 森谷 直司

    特許6809717

    Property Type: Patent

    Holder: WO2018/138851

  4. 受光デバイスおよび受光デバイスの信号読み出し方法

    須川成利, 黒田理人

    特許6671715

    Property Type: Patent

    Holder: 国立大学法人東北大学

  5. 光センサ及びその信号読み出し方法並びに固体撮像装置及びその信号読み出し方法

    須川 成利, 黒田 理人, 若嶋 駿一

    Property Type: Patent

  6. 濃度測定方法

    須川 成利, 黒田 理人

    Property Type: Patent

  7. 電界効果トランジスタおよびその駆動方法

    寺本 章伸, 諏訪 智之, 黒田 理人, 古川 貴一

    Property Type: Patent

  8. 光学的ガス濃度測定方法及び該方法によるガス濃度モニター方法

    永瀬 正明, 西野 功二, 池田 信一, 山路 道雄, 須川 成利, 黒田 理人

    Property Type: Patent

  9. 光センサ及びその信号読み出し方法並びに固体撮像装置及びその信号読み出し方法

    須川 成利, 黒田 理人, 若嶋 駿一

    Property Type: Patent

  10. 半導体素子の形成方法

    後藤 哲也, 寺本 章伸, 黒田 理人, 諏訪 智之

    Property Type: Patent

  11. 濃度測定方法

    須川 成利, 黒田 理人

    Property Type: Patent

  12. 光学的濃度測定方法

    須川 成利, 黒田 理人

    Property Type: Patent

  13. 紫外光用固体受光デバイス

    須川 成利, 黒田 理人

    Property Type: Patent

  14. 紫外光用固体受光デバイス

    須川 成利, 黒田 理人

    Property Type: Patent

  15. フォトダイオード及びその製造方法、フォトダイオードアレイ、分光光度計、並びに固体撮像装置

    須川 成利, 黒田 理人

    Property Type: Patent

  16. 信号処理方法

    須川 成利, 黒田 理人

    特許第5958980号

    Property Type: Patent

  17. リニアイメージセンサ及びその駆動方法

    冨永 秀樹, 廣瀬 竜太, 田窪 健二, 須川 成利, 黒田 理人

    Property Type: Patent

  18. 半導体基板および半導体装置

    大見 忠弘, 寺本 章伸, 諏訪 智之, 黒田 理人, 工藤 秀雄, 速水 善範

    Property Type: Patent

  19. 裏面照射型固体撮像素子

    田窪 健二, 近藤 泰志, 冨永 秀樹, 須川 成利, 黒田 理人

    Property Type: Patent

  20. 固体撮像素子

    近藤 泰志, 田窪 健二, 冨永 秀樹, 須川 成利, 黒田 理人

    Property Type: Patent

  21. 半導体装置

    大見 忠弘, 寺本 章伸, 黒田 理人

    Property Type: Patent

  22. 半導体装置

    大見 忠弘, 寺本 章伸, 黒田 理人

    特許第5590362号

    Property Type: Patent

  23. 分光計測用フォトダイオードアレイ及び分光計測装置

    冨永 秀樹, 廣瀬 竜太, 田窪 健二, 須川 成利, 黒田 理人

    Property Type: Patent

  24. 配線構造体、配線構造体を備えた半導体装置及びその半導体装置の製造方法

    須川 成利, 寺本 章伸, 黒田 理人, 谷 ▲クン▼

    Property Type: Patent

  25. 半導体装置及びその製造方法

    黒田 理人, 寺本 章伸, 須川 成利

    Property Type: Patent

  26. 半導体装置の製造方法

    大見 忠弘, 寺本 章伸, 黒田 理人

    Property Type: Patent

  27. 半導体装置の製造方法

    大見 忠弘, 寺本 章伸, 黒田 理人

    特許第5435315号

    Property Type: Patent

  28. 半導体装置

    大見 忠弘, 寺本 章伸, 黒田 理人

    Property Type: Patent

  29. フォトダイオード及びその製造方法、フォトダイオードアレイ、分光光度計、並びに固体撮像装置

    須川 成利, 黒田 理人

    Property Type: Patent

  30. 半導体装置

    大見 忠弘, 寺本 章伸, 黒田 理人

    Property Type: Patent

  31. 半導体装置

    大見 忠弘, 寺本 章伸, 黒田 理人

    特許第5316962号

    Property Type: Patent

  32. 半導体装置

    大見 忠弘, 寺本 章伸, 黒田 理人

    Property Type: Patent

  33. 半導体装置

    大見 忠弘, 寺本 章伸, 黒田 理人

    特許第5299752号

    Property Type: Patent

  34. 半導体基板および半導体装置

    大見 忠弘, 寺本 章伸, 諏訪 智之, 黒田 理人, 工藤 秀雄, 速水 善範

    Property Type: Patent

  35. 半導体装置

    大見 忠弘, 寺本 章伸, 黒田 理人

    Property Type: Patent

  36. トランジスタ及び半導体装置

    大見 忠弘, 寺本 章伸, 黒田 理人

    Property Type: Patent

  37. 光センサ及びその信号読み出し方法並びに固体撮像装置及びその信号読み出し方法

    須川 成利, 黒田 理人, 若嶋 駿一

    特許第6085733号

    Property Type: Patent

  38. 濃度測定方法

    須川 成利, 黒田 理人

    特許第6249427号

    Property Type: Patent

  39. 紫外光用固体受光デバイス

    須川 成利, 黒田 理人

    特許第6222640号

    Property Type: Patent

  40. 分光計測用フォトダイオードアレイ及び分光計測装置

    冨永 秀樹, 廣瀬 竜太, 田窪 健二, 須川 成利, 黒田 理人

    特許第5892567号

    Property Type: Patent

  41. フォトダイオード及びその製造方法、フォトダイオードアレイ、分光光度計、並びに固体撮像装置

    須川 成利, 黒田 理人

    特許第5692880号

    Property Type: Patent

  42. 配線構造体、配線構造体を備えた半導体装置及びその半導体装置の製造方法

    須川 成利, 寺本 章伸, 黒田 理人, 谷 ▲クン▼

    特許第5930416号

    Property Type: Patent

  43. トランジスタ及び半導体装置

    大見 忠弘, 寺本 章伸, 黒田 理人

    特許第5594753号

    Property Type: Patent

Show all Show first 5

Research Projects 8

  1. 作物の生理障害の機構解明におけるブレークスルーテクノロジーの開発と検証

    金山 喜則, 高橋 英樹, 渡部 敏裕, 須川 成利, 栗原 大輔, 黒田 理人

    Offer Organization: 日本学術振興会

    System: 科学研究費助成事業

    Category: 基盤研究(A)

    Institution: 東北大学

    2021/04/05 - 2026/03/31

  2. Construction of High-Sensitivity Real-Time Spectroscopic Imaging by Innovative High-Speed Spectroscopy and its Application to Non-Invasive Diagnostics

    Ishinabe Takahiro

    Offer Organization: Japan Society for the Promotion of Science

    System: Grants-in-Aid for Scientific Research

    Category: Grant-in-Aid for Scientific Research (B)

    Institution: Tohoku University

    2019/04/01 - 2022/03/31

    More details Close

    In this research, a polarization-independent and high-speed control method of the refractive index of liquid crystals by controlling the nano-sized polymer network structure formed in the liquid crystal was established and a high-speed wavelength tunable spectral filter using liquid crystal Fabry-Perot etalons was realized to achieve compact real-time spectral imaging system. In addition, by using a horizontal overflow storage capacitor that stores light charge in a capacitance density capacitor formed in the pixel and an analog memory that temporarily holds pixel signals, we established a technique that combines high light sensitivity in the near-infrared region with a global shutter function, and successfully developed a high-sensitivity, high-speed spectral imaging system.

  3. Establishment of innovative small light amount difference image sensor with linear response 100 million electron full well capacity

    KURODA Rihito

    Offer Organization: Japan Society for the Promotion of Science

    System: Grants-in-Aid for Scientific Research Grant-in-Aid for Young Scientists (A)

    Category: Grant-in-Aid for Young Scientists (A)

    Institution: Tohoku University

    2017/04/01 - 2020/03/31

    More details Close

    Aiming for the establishment of a small light amount difference image sensor technology, in-pixel photo-charge integration capacitors with high capacitance density and low leakage current and signal readout method to accurately detect potential level of accumulated photo-charge were researched. A prototype chip developed in this research demonstrated a 190-1100nm wide spectral response, 24.3M photoelectron full well capacity and 71.3dB SNR. Using the frame averaging method, 80dB SNR real-time imaging is to be available, which accounts for over 100M photoelectron signal. In addition, using a none-destructive light absorption imaging with the developed image sensor, two-dimensional concentration images of sub-ppm order concentration ozonated water, acetone gas, process gas for semiconductor equipment, and glucose were visualized.

  4. Establishment of a CMOS image sensor with photon countable sensitivity, linear response and high full well capacity

    Sugawa Shigetoshi

    Offer Organization: Japan Society for the Promotion of Science

    System: Grants-in-Aid for Scientific Research Grant-in-Aid for Scientific Research (A)

    Category: Grant-in-Aid for Scientific Research (A)

    Institution: Tohoku University

    2015/04/01 - 2018/03/31

    More details Close

    Toward the establishment of a CMOS image sensor with photon countable sensitivity and high full well capacity with linear response, capable of clearly capturing image shooting targets over 5 decade of light illumination conditions, an ultra-high sensitivity signal readout technology was developed in this research based on formerly developed CMOS image sensors with lateral overflow integration capacitor (LOFIC). By the minimization of capacitance of floating diffusion that convert photo-electrons to voltage signal and the introduction of multiple gain column parallel amplifiers, a very low input-referred noise performance of 0.47 electrons was achieved. Moreover, signal readout noise of input-referred 0.2 electron and full well capacity of 50,000 electrons are estimated to be obtained by introducing multi sampling readout scheme of pixel signals and lowering thermal noise of signal readout chain.

  5. Minimization of variation and noise of electrical characteristics of MOS transistors due to atomically flat gate insulator film/Si interface

    Kuroda Rihito

    Offer Organization: Japan Society for the Promotion of Science

    System: Grants-in-Aid for Scientific Research Grant-in-Aid for Young Scientists (B)

    Category: Grant-in-Aid for Young Scientists (B)

    Institution: Tohoku University

    2014/04/01 - 2016/03/31

    More details Close

    Atomically flattening technology of Si surface was introduced to a 0.22μm LSI manufacturing technology with shallow trench isolation process, and the atomic flatness of gate insulator/Si interface of MOS transistors was successfully obtained on the whole surface of 200mm diameter Si wafers. Based on the electrical characteristics measurement of over a million transistors, a reduction of threshold voltage variation as well as the one order of magnitude reduction of occurrence probability of random telegraph noise were confirmed and its reduction mechanism was clarified.

  6. Accurate measurement and statistical analysis of gate leakage current of MOSFETs with atomically flat interface

    SUGAWA Shigetoshi, KURODA Rihito

    Offer Organization: Japan Society for the Promotion of Science

    System: Grants-in-Aid for Scientific Research Grant-in-Aid for Scientific Research (B)

    Category: Grant-in-Aid for Scientific Research (B)

    Institution: Tohoku University

    2012/04/01 - 2015/03/31

    More details Close

    Atomically flattening technology of gate insulator film/Si interface was introduced to a 0.22 um CMOS LSI manufacturing technology. It was clarified that the atomic level flatness is obtained at the interface of miniaturized MOSFET by introducing the Si surface flattening process at a temperature less than 850 C right before gate insulator film formation process step. The array test circuit was fabricated based on the introduced technology. By measuring gate current of over 80000 MOSFETs with gate insulator film thickness of 7.7 nm within 80 sec with 10aA accuracy using the developed high accuracy statistical measurement technology, it was confirmed that the appearance probability of MOSFETs with large gate current is decreased by one order of magnitude in comparison to the conventional MOSFETs of which roughness at the gate insulator film/Si interface is about 1 nm.

  7. Device Structure Optimization of MOS Transistors for Reduction of Low Frequency Noise

    KURODA Rihito

    Offer Organization: Japan Society for the Promotion of Science

    System: Grants-in-Aid for Scientific Research Grant-in-Aid for Research Activity start-up

    Category: Grant-in-Aid for Research Activity start-up

    Institution: Tohoku University

    2010 - 2011

    More details Close

    The noise characteristics of MOS transistors with various device parameters were evaluated using the measurement method that can statistically analyze noise of more than one million transistors. It was confirmed that the appearance probability of random telegraph noise in buried channel structure with buried layer width of 60nm is reduced to 1/60 compared to the standard surface channel structure. The noise reduction mechanism by an introduction of the buried channel structure was clarified. The device structure optimization methodology for low noise MOS transistor was proposed for the realization of very high sensitivity CMOS image sensors.

  8. 高信頼大規模集積回路製造へ向けたトランジスタモデリングに関する研究

    黒田 理人

    Offer Organization: 日本学術振興会

    System: 科学研究費助成事業 特別研究員奨励費

    Category: 特別研究員奨励費

    Institution: 東北大学

    2007 - 2009

    More details Close

    前年度までに開発した1万~1000万個の大規模トランジスタの特性評価が数十秒という短時間で測定可能なTEG (Test Element Group)を用いて、ソース及びドレイン端子と基板で構成されるpn接合の逆方向リーク電流についても統計的評価が出来るようになった。温度特性の評価の結果、DRAMのデータ保持特性等を左右する局所的に大きなリーク電流を誘起する原因はシリコンの禁制帯の中間に位置する欠陥であることが分かった。 前年度までに開発したゲート絶縁膜/シリコン界面の原子オーダー平坦化技術を用いてCMOSを製造し、LSIで実使用される面積規模でゲート絶縁膜の耐圧、寿命特性の評価を行った。10cm^2を超える大面積では、従来の界面平坦性を有するデバイスと比べ寿命が30倍以上長く、またばらつきが大きく抑制できることが分かり、界面を原子オーダーで平坦化したデバイスでは実用上の面積規模でも高信頼性が維持できることが明らかになった。また従来の界面の凹凸による局所的電界集中効果をシミュレーションで再現した結果、局所的電界集中は実験で得られた耐圧の差と近いことが分かり、耐圧、寿命の向上は界面平坦化による局所的電界集中の抑制によることが分かった。また、1200℃で行っていたシリコン表面の原子オーダー平坦化プロセスの温度を1000℃以下にすることが可能になった。 ソース・ドレイン電極の直列抵抗が高いと、電流駆動能力を劣化させると共に、直列抵抗のばらつきがトランジスタの特性ばらつきに与える影響が大きくなる。直列抵抗の低減は、電流駆動能力の向上と共に特性ばらつき低減にも重要である。今年度は、n^+とp^+-Siについてバリアハイトがそれぞれ約0.3eVと小さいErSi_x, Pd_2SiシリサイドをnMOSとpMOSに用いてCMOSを作製し、直列抵抗がnMOS, pMOS共に1000hm μm以下にできることを示した。

Show all Show first 5

Social Activities 5

  1. 出張講義(秋田南高等学校)

    学科紹介

    2021/06/22 - 2021/06/22

  2. 出張講義(神奈川県立厚木高等学校)

    知の探究講座

    2019/10/25 - 2019/10/25

  3. Quicker Camera Chips Coming

    2016/12/16 -

    More details Close

    If you want to capture a super-slo-mo film of the nanosecond dynamics of a bullet impact, or see a football replay in fanatical detail and rich color, researchers are working on an image sensor for you. Last week at the IEEE International Electron Devices Meeting in San Francisco, two groups reported CMOS image sensors that rely on new ways of integrating pixels and memory cells to improve speed and image quality. Both groups are working on improving global-shutter image sensors. CMOS image sensors usually use what’s called a rolling shutter. Rolling shutter cameras scan across a scene—that is, each frame of the image only shows part of the scene. This makes them speedier but it can cause distortion, especially when filming a fast-moving target like a car or a bullet. Global shutters are better for filming speeding objects because they can snap the entire scene at once. CMOS sensors aren’t naturally suited to this, because the pixels are usually read out row by row. CCD image sensors, on the other hand, have a global shutter by definition, because all the pixels are read out at once, says Rihito Kuroda, an engineer at Tohoku University in Sendai, Japan. But they’re not ide

  4. 出張講義(長野県屋代高等学校)

    2016/09/10 -

    More details Close

    長野県屋代高等学校の平成28年度「屋代ミニ大学」において、高校2年生向けに「豊かで安全安心な社会を支える極限知能デバイス」と題した講義を行った

  5. 出張講義(秋田県立横手高等学校)

    2014/09/03 -

    More details Close

    秋田県立横手高等学校において「豊かで安全安心な社会を支える極限知能デバイス」と題した出張講義を行った。

Media Coverage 3

  1. Ultraviolet light sensor for wearable devices in the IoT era

    Tohoku University

    2017/04/17

    Type: Other

    More details Close

    Mass production technology for silicon based ultraviolet (UV) light sensors, suitable for smartphones and wearable devices in the Internet of Things (IoT) era, has been jointly developed by a research team at Tohoku University and SII Semiconductor Corporation, a semiconductor manufacturer at Seiko Instruments Group. In recent years, there's been growing interest within the healthcare community in the prevention of sunburns and skin blemishes. As such, easy measurement of UV light through the use of a smartphone or a wearable device could be of great benefit to healthcare and aesthetic medicine. In fact, the need to measure invisible UV light is also increasing in industrial fields, where equipment such as UV curing machines and printers using UV curable ink are being used more frequently now than ever before. The new UV light sensor technology, developed by the research team led by Professor Shigetoshi Sugawa and Associate Professor Rihito Kuroda at Tohoku University's Graduate School of Engineering, uses only silicon semiconductors to selectively detect and measure the light intensity of UV-A (315~400nm) and UV-B (280~315nm) light wavebands. These are the wavebands to which sun

  2. ウェアラブル端末・IoT向け紫外線(UV)センサを開発~エスアイアイ・セミコンダクタ株式会社と共同で、シリコンを使ったUVセンサ用フォトダイオードの量産化技術を開発~

    東北大学

    2017/03/27

    Type: Other

    More details Close

    東北大学大学院工学研究科技術社会システム専攻 須川成利教授・黒田理人准教授の研究グループは、セイコーインスツル株式会社(社長:村上 斉、本社:千葉県千葉市)の子会社で、半導体の製造・販売を行うエスアイアイ・セミコンダクタ株式会社(社長:石合 信正、本社:千葉県千葉市)との共同で、UV-AからUV-Bまでの紫外線領域を検知するシリコンを使った紫外線(UV)センサの量産化技術を開発しました。

  3. 技術社会システム専攻の須川成利教授の研究グループが光感度をISO16000に高めた毎秒1,000万コマの超高速撮影が可能な高速度ビデオカメラの製品実用化に成功しました。

    東北大学工学部・工学研究科

    2015/07/17

    Type: Other

    More details Close

    技術社会システム専攻の須川成利教授の研究グループが光感度をISO16000に高めた毎秒1,000万コマの超高速撮影が可能な高速度ビデオカメラの製品実用化に成功しました。