-
博士(工学)(東北大学)
経歴 12
-
2012年10月 ~ 継続中東北大学 国際集積エレクトロニクス研究開発センター センター長、 教授
-
2012年10月 ~ 継続中東北大学 スピントロニクス学術連携研究教育センター 部門長、 教授
-
2012年10月 ~ 継続中東北大学 国際共同大学院 教授
-
2012年4月 ~ 継続中東北大学 大学院 工学研究科 教授 教授
-
2010年3月 ~ 継続中東北大学 省エネルギー・スピントロニクス集積化システムセンター 副センター長・教授 副センター長・教授
-
2007年4月 ~ 2008年3月東北大学 電気通信研究所 准教授
-
1997年6月 ~ 2007年3月東北大学 電気通信研究所 助教授
-
1995年4月 ~ 1997年5月東北大学 電気通信研究所 講師
-
1988年4月 ~ 1995年3月(株)東芝 研究開発センターULSI研究所 研究員 研究員
-
1987年4月 ~ 1988年3月(株)東芝 研究開発センター 研究員 研究員
-
2008年5月 ~東北大学 学際科学国際高等研究センター 教授
-
2008年4月 ~東北大学 電気通信研究所 教授
学歴 1
-
東京大学 理学部 物理学科表面物性
~ 1987年3月31日
委員歴 92
-
IEEE(The Institute of Electrical and Electronics Engineers) Fellow
2022年12月 ~ 継続中
-
日本学術振興会 ハイブリッド量子ナノ技術委員会委員
2021年12月 ~ 継続中
-
岩手県 アドバイザリーボード
2019年4月 ~ 継続中
-
応用物理学会 シリコンテクノロジー分科会代議員
2019年4月 ~ 継続中
-
IRPS Memory Committee
2019年4月 ~ 継続中
-
International Technology Roadmap for Device and System Emerging Research Materials/Emerging Research Devices&System 委員
2016年5月 ~ 継続中
-
独立行政法人新エネルギー・産業技術総合開発機構 省エネデバイス技術委員会委員(民生部門:副委員長)
2010年6月 ~ 継続中
-
仙台市 仙台市国際産学連携フェロー
2010年6月 ~ 継続中
-
International Technology Roadmap for Semiconductors Emerging Research Materials/Emerging Research Devices (ITRS ERM/ERD) 委員
2010年5月 ~ 継続中
-
電子情報通信学会 シリコン材料・デバイス(SDM)研究会 委員長
2010年4月 ~ 継続中
-
電子情報通信学会 エレクトロニクスソサイエティ学術奨励賞選定委員会 委員
2010年4月 ~ 継続中
-
独立行政法人新エネルギー・産業技術総合開発機構 パワーエレクトロニクス技術委員会委員
2010年4月 ~ 継続中
-
応用物理学会 ゲートスタック研究会 Steering Committee&Program Committee
2010年3月 ~ 継続中
-
独立行政法人新エネルギー・産業技術総合開発機構 高速不揮発メモリ委員会 委員
2010年3月 ~ 継続中
-
(独)日本学術振興会 未踏ナノデバイステクノロジー第151委員会 企画委員
2010年1月 ~ 継続中
-
応用物理学会 シリコンテクノロジー分科会 常任幹事
2009年4月 ~ 継続中
-
IEEE Student Activitiies Committee Chair
2009年4月 ~ 継続中
-
応用物理学会 シリコンテクノロジー分科会 常任幹事
2009年4月 ~ 継続中
-
IEEE Student Activitiies Committee Chair
2009年4月 ~ 継続中
-
社団法人 電子情報技術産業協会(JEITA) 専門委員
2007年3月 ~ 継続中
-
応用物理学会 論文編集委員
2006年4月 ~ 継続中
-
IEEE Solid-State Circuits Society Japan Chapter IEEE SSCS Japan Chapter Vice Chair
2023年1月 ~ 2024年12月
-
東北経済産業局 研究会参画者
2022年7月 ~ 2024年6月
-
独立行政法人新エネルギー・産業技術総合開発機構 技術委員
2022年6月 ~ 2024年3月
-
日経エレクトロニクス NEパワーエレクトロニクス・アワード技術選出員及びNEパワーエレクトロニクス・アワード審査員
2023年6月 ~ 2023年12月
-
応用物理学会 2023年国際固体素子・材料コンファレンス組織委員
2023年1月 ~ 2023年12月
-
電子情報通信学会シリコン材料・デバイス研究専門委員会 国際会議AWAD2023アドバイザリ委員
2022年11月 ~ 2023年11月
-
IWDTF2023組織委員会 組織委員
2022年8月 ~ 2023年11月
-
電子情報通信学会 シリコン材料・デバイス研究専門委員会顧問
2021年6月 ~ 2023年6月
-
応用物理学会 第28回電子デバイス界面テクノロジー研究会運営委員
2022年8月 ~ 2023年3月
-
産業技術総合研究所 次世代コンピューティング基盤戦略会議委員
2022年4月 ~ 2023年3月
-
電子情報通信学会 AWAD2022アドバイザリ委員・プログラム委員
2022年3月 ~ 2023年2月
-
日経エレクトロニクス NEパワーエレクトロニクス・アワード技術選出員及びNEパワーエレクトロニクス・アワード審査員
2022年6月 ~ 2022年12月
-
応用物理学会 2022年国際固体素子・材料コンファレンス組織委員
2022年1月 ~ 2022年12月
-
応用物理学会 第27回電子デバイス界面テクノロジー研究会運営委員
2021年8月 ~ 2022年3月
-
国立研究開発法人産業技術総合研究所エレクトロニクス・製造領域 次世代コンピューティング基盤戦略会議委員
2021年3月 ~ 2022年3月
-
独立行政法人新エネルギー・産業技術総合開発機構 技術委員
2021年2月 ~ 2022年3月
-
岩手県 アドバイザリーボード
2019年11月 ~ 2022年3月
-
日経エレクトロニクス NEパワーエレクトロニクス・アワード技術選出員及びNEパワーエレクトロニクス・アワード審査員
2021年6月 ~ 2021年12月
-
応用物理学会 2021年国際固体素子・材料コンファレンス組織委員
2021年3月 ~ 2021年12月
-
IWDTF2021組織委員会 組織委員
2020年5月 ~ 2021年11月
-
NEDO「材料分野の産業競争力強化に向けた技術戦略策定に資する調査」高度情報化社会デバイスワーキンググループ委員
2020年11月 ~ 2021年3月
-
応用物理学会 第26回電子デバイス界面テクノロジー研究会実行・プログラム委員
2020年7月 ~ 2021年3月
-
応用物理学会 電子デバイス界面テクノロジー研究会実行・プログラム委員
2020年7月 ~ 2021年3月
-
IWDTF2021組織委員
2020年5月 ~ 2021年3月
-
NEパワーエレクトロニクス・アワード技術選出員及びNEパワーエレクトロニクス・アワード審査員
2020年6月 ~ 2021年2月
-
日経エレクトロニクス パワーエレクトロニクスイノベーションアワード審査委員
2020年6月 ~ 2021年2月
-
TIA推進センター 高機能IoTデバイス開発拠点検討委員会委員
2020年1月 ~ 2020年3月
-
第25回電子デバイス界面テクノロジー研究会実行・プログラム委員
2019年7月 ~ 2020年3月
-
第25回「電子デバイス界面テクノロジー研究会 実行・プログラム委員
2019年7月 ~ 2020年3月
-
応用物理学会 電子デバイス界面テクノロジー研究会実行・プログラム委員
2019年7月 ~ 2020年3月
-
日経エレクトロニクス NEパワーエレクトロニクス・アワード技術選出員及びNEパワーエレクトロニクス・アワード審査員
2019年6月 ~ 2020年2月
-
日本学術振興会 「特別推進研究」審査意見書作成者
2019年12月 ~ 2020年1月
-
応用物理学会 SSDM組織委員
2019年1月 ~ 2019年12月
-
日経エレクトロニクス パワーエレクトロニクスイノベーションアワード審査委員
2018年6月 ~ 2018年12月
-
TIA推進センター 高機能IoTデバイス開発拠点検討委員会委員
2018年5月 ~ 2018年9月
-
応用物理学会 2018年国際固体素子・材料コンファレンス実行委員長
2018年2月 ~ 2018年9月
-
International Conference on Nenoelectronics Strategy 日本委員会 企画・運営委員
2017年12月 ~ 2018年5月
-
応用物理学会 電子デバイス界面テクノロジー研究会実行・プログラム委員
2017年7月 ~ 2018年3月
-
応用物理学会 システムデバイスロードマップ委員会委員
2017年3月 ~ 2018年3月
-
TIA推進センター TIAナノエレクトロニクスステークホルダーグループ委員
2017年2月 ~ 2018年3月
-
独立行政法人新エネルギー・産業技術総合開発機構 ピアレビュア
2016年4月 ~ 2018年3月
-
日経エレクトロニクス パワーエレクトロニクスイノベーションアワード審査委員
2017年6月 ~ 2018年2月
-
応用物理学会 2017年国際固体素子・材料コンファレンス実行委員長
2016年12月 ~ 2017年12月
-
日本学術振興会 基盤研究等第一段審査委員
2016年12月 ~ 2017年11月
-
国際ナノテクノロジー会議日本委員会 日本委員会委員
2017年4月 ~ 2017年6月
-
電子情報技術産業協会 半導体技術ロードマップ専門委員会WG12の特別委員
2015年5月 ~ 2016年3月
-
独立行政法人新エネルギー・産業技術総合開発機構 ピアレビュア
2014年4月 ~ 2016年3月
-
応用物理学会 論文賞委員
2014年4月 ~ 2016年3月
-
科学と技術に関する2015年国際ワークショップ プログラム委員会委員
2015年5月 ~ 2015年11月
-
日本学術振興会 審査会専門委員及び国際事業委員会書面審査員
2014年8月 ~ 2015年7月
-
応用物理学会 薄膜・表面物理分科会実行・プログラム委員
2014年5月 ~ 2015年3月
-
電子情報技術産業協会 半導体技術ロードマップ専門委員会WG12の特別委員
2014年4月 ~ 2015年3月
-
独立行政法人新エネルギー・産業技術総合開発機構 技術委員
2013年5月 ~ 2015年3月
-
2011年 International Workshop on DIELECTRIC THIN FILMS FOR FUTURE ELECTRON DEVICES: SCIENCE AND TECHNOLOGY(IWDTF-11) 実行委員
2010年3月 ~ 2011年3月
-
2011年 International Workshop on DIELECTRIC THIN FILMS FOR FUTURE ELECTRON DEVICES: SCIENCE AND TECHNOLOGY(IWDTF-11) 実行委員
2010年3月 ~ 2011年3月
-
独立行政法人新エネルギー・産業技術総合開発機構 技術委員
2009年3月 ~ 2011年3月
-
独立行政法人新エネルギー・産業技術総合開発機構 研究実用化検討委員会 委員
2009年3月 ~ 2011年3月
-
2009 International Conference on Solid State Devices and Materials (SSDM 2009) SSDM2009 STEERING COMMITTEE
2009年4月 ~ 2010年3月
-
2009 International Conference on Solid State Devices and Materials (SSDM 2009) SSDM2009 STEERING COMMITTEE
2009年4月 ~ 2010年3月
-
電気通信情報学会 シリコン デバイス 材料 研究会(SDM研究会) 幹事
2009年4月 ~ 2010年3月
-
電子情報通信学会 シリコン材料・デバイス(SDM)研究会 幹事
2009年3月 ~ 2010年3月
-
電子情報通信学会 シリコン材料・デバイス(SDM)研究会 幹事
2009年3月 ~ 2010年3月
-
International Conference on Solid State Devices and Materials (SSDM 2009) Program Committee
2009年4月 ~ 2009年12月
-
応用物理学会 2009年国際固体素子・材料コンファレンス 実行委員
2008年10月 ~ 2009年10月
-
新機能素子研究開発協会 「2050年における省エネルギー社会の実現に向けた電気エネルギー有効利用に関わるグリーンエレクトロニクス技術」に係る調査委員長
2008年10月 ~ 2009年4月
-
新機能素子研究開発協会 「2050年における省エネルギー社会の実現に向けた電気エネルギー有効利用に関わるグリーンエレクトロニクス技術」に係る調査委員長
2008年10月 ~ 2009年4月
-
応用物理学会 シリコンナノテクノロジー研究委員会 幹事
2007年4月 ~ 2009年3月
-
電気学会 東北支部 評議委員
2004年1月 ~ 2006年1月
-
電子情報通信学会 和・英論文誌編集委員
2001年4月 ~ 2005年3月
-
電気学会 東北支部 会計幹事
2001年1月 ~ 2003年12月
-
IEEE 2000 International conference on Microelectronics Corresponding Members
2000年5月 ~ 2002年5月
所属学協会 4
-
電気学会
-
応用物理学会
-
電子情報通信学会
-
IEEE(The Institute of Electrical and Electronics Engineers, Inc)
研究キーワード 1
-
グリーンパワー集積回路
研究分野 4
-
ナノテク・材料 / ナノバイオサイエンス /
-
ナノテク・材料 / ナノ材料科学 /
-
ナノテク・材料 / ナノマイクロシステム /
-
ものづくり技術(機械・電気電子・化学工学) / 電子デバイス、電子機器 /
受賞 7
-
平成 29 年度全国発明表彰「21 世紀発明奨励賞」
2017年6月12日 公益社団法人発明協会 ボディーチャネル型MOSFETによる3次元集積化メモリー高度化の発明
-
第14回産学官連携功労者表彰「内閣総理大臣賞」
2016年8月19日 内閣府 高性能不揮発性メモリとその評価・製造装置の開発、及び、国際産学連携集積エレクトロニクス研究開発拠点の構築
-
2012 SSDM Paper Award
2012年9月25日 応用物理学会、IEEE Studies on Static Noise Margin and Scalability for Low-Power and High-Density Nonvolatile SRAM using Spin-Transfer-Torque (STT) MTJsに対する論文賞
-
第6回応用物理学会フェロー
2012年9月11日 応用物理学会 不揮発性メモリの実用研究と立体構造メモリ・ロジックの先導研究
-
平成23年度日本表面科学会論文賞
2011年9月2日 日本表面科学会 Raman-Scattering Spectroscopy of Epitaxial Graphene Formed on SiC Film on Si Substrateに対する論文賞
-
第31回応用物理学会論文賞「JJAP論文賞」
2009年9月8日 応用物理学会
-
IPデザイン・アワード(開発助成部門)
2001年5月23日 日経BP社
論文 845
-
Ultrafast spin–orbit torque-induced magnetization switching in a 75°-canted magnetic tunnel junction 査読有り
T. V. A. Nguyen, H. Naganuma, H. Honjo, S. Ikeda, T. Endoh
AIP Advances 14 025018-025018 2024年2月1日
DOI: 10.1063/9.0000789
-
Field-free spin-orbit torque switching and large dampinglike spin-orbit torque efficiency in synthetic antiferromagnetic systems using interfacial Dzyaloshinskii-Moriya interaction
Yoshiaki Saito, Shoji Ikeda, Nobuki Tezuka, Hirofumi Inoue, Tetsuo Endoh
Physical Review B 108 (2) 2023年7月20日
出版者・発行元:American Physical Society (APS)DOI: 10.1103/physrevb.108.024419
ISSN:2469-9950
eISSN:2469-9969
-
Enhancement of Damping-Like Spin-Orbit-Torque Efficiency in Synthetic Antiferromagnetic System using Pt-Cu Alloy
Yoshiaki Saito, Shoji Ikeda, Hirofumi Inoue, Tetsuo Endoh
2023 IEEE International Magnetic Conference - Short Papers (INTERMAG Short Papers) 2023年5月
出版者・発行元:IEEEDOI: 10.1109/intermagshortpapers58606.2023.10228766
-
Effect of MgO Grain Boundaries on the Interfacial Perpendicular Magnetic Anisotropy in Spin-Transfer Torque Magnetic Random Access Memory: A First-Principles Study
Keisuke Morishita, Yosuke Harashima, Masaaki Araidai, Tetsuo Endoh, Kenji Shiraishi
IEEE Transactions on Magnetics 59 (4) 1-6 2023年2月23日
出版者・発行元:Institute of Electrical and Electronics Engineers ({IEEE})DOI: 10.1109/tmag.2023.3248488
ISSN:0018-9464 1941-0069
-
Neuromorphic processor-oriented hybrid Q-format multiplication with adaptive quantization for tiny YOLO3 査読有り
Tao Li, Yitao Ma, Tetsuo Endoh
Neural Computing and Applications 2023年2月13日
出版者・発行元:NoneDOI: 10.1007/s00521-023-08280-y
ISSN:0941-0643
eISSN:1433-3058
-
Bridging Artificial Intelligence and Devices: Power Reduction Method of Non-volatile Devices with Error-resilient Deep Neural Networks
Tao Li, Li Zhang, Yitao Ma, Tetsuo Endoh
IEEE Transactions on Magnetics 1-1 2023年
出版者・発行元:Institute of Electrical and Electronics Engineers (IEEE)DOI: 10.1109/tmag.2023.3321878
ISSN:0018-9464
eISSN:1941-0069
-
Charge-to-Spin Conversion Efficiency in Synthetic Antiferromagnetic System using Pt-Cu/Ir/Pt-Cu spacer layers
Yoshiaki Saito, Shoji Ikeda, Hirofumi Inoue, Tetsuo Endoh
IEEE Transactions on Magnetics 1-1 2023年
出版者・発行元:Institute of Electrical and Electronics Engineers (IEEE)DOI: 10.1109/tmag.2023.3282626
ISSN:0018-9464
eISSN:1941-0069
-
Hybrid Signed Convolution Module With Unsigned Divide-and-Conquer Multiplier for Energy-Efficient STT-MRAM-Based AI Accelerator
Tao Li, Yitao Ma, Ko Yoshikawa, Tetsuo Endoh
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 1-5 2023年
出版者・発行元:Institute of Electrical and Electronics Engineers (IEEE)DOI: 10.1109/tvlsi.2023.3245099
ISSN:1063-8210
eISSN:1557-9999
-
Correlation between the magnitude of interlayer exchange coupling and charge-to-spin conversion efficiency in a synthetic antiferromagnetic system 査読有り
Yoshiaki Saito, Shoji Ikeda, Tetsuo Endoh
Applied Physics Express 16 (1) 013002-1-013002-6 2023年1月1日
出版者・発行元:IOP PublishingDOI: 10.35848/1882-0786/acb311
ISSN:1882-0778
eISSN:1882-0786
-
Influence of sidewall damage on thermal stability in quad-CoFeB/MgO interfaces by micromagnetic simulation 査読有り
Hiroshi Naganuma, Hiroaki Honjo, Chikako Kaneta, Koichi Nishioka, Shoji Ikeda, Tetsuo Endoh
AIP Advances 12 125317-1-125317-10 2022年12月21日
DOI: 10.1063/5.0112741
-
Effect of interfacial nitrogen defects on tunnel magnetoresistance in an Fe/MgO/Fe magnetic tunnel junction 査読有り
Yutaro Ogawa, Masaaki Araidai, Tetsuo Endoh, Kenji Shiraishi
Journal of Applied Physics 132 (21) 213904-213904 2022年12月7日
出版者・発行元:AIP PublishingDOI: 10.1063/5.0126570
ISSN:0021-8979
eISSN:1089-7550
-
25 nm iPMA-type Hexa-MTJ with solder reflow capability and endurance > 10^7 for eFlash-type MRAM
H. Honjo, K. Nishioka, S. Miura, H. Naganuma, T. Watanabe, T. Nasuno, T.Tanigawa, Y. Noguchi, H. Inoue, M. Yasuhira, S. Ikeda, and T. Endoh
IEEE International Electron Devices Meeting (IEDM) 2022年12月3日
-
From Algorithm to Module: Adaptive and Energy-Efficient Quantization Method for Edge Artificial Intelligence in IoT Society 査読有り
Tao Li, Yitao Ma, Tetsuo Endoh
IEEE Transactions on Industrial Informatics 1-13 2022年11月18日
出版者・発行元:Institute of Electrical and Electronics Engineers (IEEE)ISSN:1551-3203
eISSN:1941-0050
-
Preparation of RuO2 for spin-orbit torque measurement of RuO2/Co-Fe-B bilayer
T. V. A. Nguyen, S. DuttaGupta, Y. Saito, S. Fukami, D. Vu, H. Naganuma, S. Ikeda, T. Endoh, Y. Endo
The 6th Symposium for the Core Research Clusters for Materials Science and Spintronics, and the 5th Symposium on International Joint Graduate Program in Materials Science 2022年10月24日
-
Impact of Grain Boundaries in MgO Layer on Data Retention Performance of STT-MRAM
K. Morishita, Y. Harashima, M. Araidai, T. Endoh, K. Shiraish
2022 International Conference on Solid State Devices and Materials (SSDM 2022) 2022年9月29日
-
Influence of Iridium Sputtering Conditions on the Magnetic Properties of Co/Pt-Based Iridium-Synthetic Antiferromagnetic Coupling Reference Layer 査読有り
H. Honjo, H. Naganuma, K. Nishioka, T. V. A. Nguyen, M. Yasuhira, S. Ikeda, T. Endoh
58 (8) 1-5 2022年8月
出版者・発行元:NoneDOI: 10.1109/tmag.2022.3151562
ISSN:0018-9464
eISSN:1941-0069
-
Energy-Efficient Convolution Module With Flexible Bit-Adjustment Method and ADC Multiplier Architecture for Industrial IoT 査読有り
Tao Li, Yitao Ma, Ko Yoshikawa, Osamu Nomura, Tetsuo Endoh
IEEE Transactions on Industrial Informatics 18 (5) 3055-3065 2022年5月
出版者・発行元:Institute of Electrical and Electronics Engineers (IEEE)ISSN:1551-3203
eISSN:1941-0050
-
Design and Heavy-Ion Testing of MTJ/CMOS Hybrid LSIs for Space-Grade Soft-Error Reliability
K. Watanabe, T. Shimada, K. Hirose, H. Shindo, D. Kobayashi, T. Tanigawa, S. Ikeda, T. Shinada, H. Koike, T. Endoh, T. Makino, T. Ohshima
2022 IEEE International Reliability Physics Symposium (IRPS) 2022年3月27日
出版者・発行元:IEEEDOI: 10.1109/irps48227.2022.9764491
-
Effect of oxygen incorporation on dynamic magnetic properties in Ta-O/Co-Fe-B bilayer films under out-of-plane and in-plane magnetic fields 査読有り
T. V. A. Nguyen, Y. Saito, H. Naganuma, S. Ikeda, T. Endoh, Y. Endo
AIP Advances 12 (3) 035133-1-035133-5 2022年3月1日
出版者・発行元:NoneDOI: 10.1063/9.0000297
eISSN:2158-3226
-
Enhancement of current to spin-current conversion and spin torque efficiencies in a synthetic antiferromagnetic layer based on a Pt/Ir/Pt spacer layer 査読有り
Yoshiaki Saito, Shoji Ikeda, Tetsuo Endoh
105 (5) 2022年2月22日
出版者・発行元:NoneDOI: 10.1103/physrevb.105.054421
ISSN:2469-9950
eISSN:2469-9969
-
Effect of Magnetic Coupling between Two CoFeB Layers on Thermal Stability in Perpendicular Magnetic Tunnel Junctions with MgO/CoFeB/Insertion Layer/CoFeB/MgO Free Layer 査読有り
K. Nishioka, S. Miura, H. Honjo, H. Naganuma, T. V.A. Nguyen, T. Watanabe, S. Ikeda, T. Endoh
IEEE Transactions on Magnetics 58 (2) 2022年2月1日
DOI: 10.1109/TMAG.2021.3083575
ISSN:0018-9464
eISSN:1941-0069
-
Efficient BCH Code Encoding and Decoding Algorithm with Divisor-Distance-Based Polynomial Division for STT-MRAM 査読有り
Li Zhang, Yitao Ma, Tetsuo Endoh
IEEE Transactions on Magnetics 1-1 2022年
出版者・発行元:Institute of Electrical and Electronics Engineers (IEEE)DOI: 10.1109/tmag.2022.3142917
ISSN:0018-9464
eISSN:1941-0069
-
Ultimate vertical gate-all-around metal–oxide–semiconductor field-effect transistor and its three-dimensional integrated circuits
Shujun Ye, Kikuo Yamabe, Tetsuo Endoh
Materials Science in Semiconductor Processing 134 106046-106046 2021年11月
出版者・発行元:Elsevier BVDOI: 10.1016/j.mssp.2021.106046
ISSN:1369-8001
-
Synthetic antiferromagnetic layer based on Pt/Ru/Pt spacer layer with 1.05 nm interlayer exchange oscillation period for spin–orbit torque devices
Yoshiaki Saito, Shoji Ikeda, Tetsuo Endoh
Applied Physics Letters 119 (14) 142401-142401 2021年10月4日
出版者・発行元:AIP PublishingDOI: 10.1063/5.0063317
ISSN:0003-6951
eISSN:1077-3118
-
Antiferromagnetic interlayer exchange coupling and large spin Hall effect in multilayer systems with Pt/Ir/Pt and Pt/Ir layers
Yoshiaki Saito, Nobuki Tezuka, Shoji Ikeda, Tetsuo Endoh
Physical Review B 104 (6) 2021年8月23日
出版者・発行元:American Physical Society (APS)DOI: 10.1103/physrevb.104.064439
ISSN:2469-9950
eISSN:2469-9969
-
Advanced 18 nm Quad-MTJ technology overcomes dilemma of Retention and Endurance under Scaling beyond 2X nm 査読有り
H. Naganuma, S. Miura, H. Honjo, K. Nishioka, T. Watanabe, T. Nasuno, H. Inoue, T. V. A. Nguyen, Y. Endo, Y. Noguchi, M. Yasuhira, S. Ikeda, T. Endoh
2021-June 2021年6月
ISSN:0743-1562
-
Precise fabrication of uniform sub-10-nm-diameter cylindrical silicon nanopillars via oxidation control
Shujun Ye, Kikuo Yamabe, Tetsuo Endoh
Scripta Materialia 198 113818-113818 2021年6月
出版者・発行元:Elsevier BVDOI: 10.1016/j.scriptamat.2021.113818
ISSN:1359-6462
-
Oxidation of Silicon Nanopillars 査読有り
Shujun Ye, Kikuo Yamabe, Tetsuo Endoh
125 8853-8861 2021年4月20日
出版者・発行元:NoneISSN:1932-7447
eISSN:1932-7455
-
Dual-Port SOT-MRAM Achieving 90-MHz Read and 60-MHz Write Operations under Field-Assistance-Free Condition
Masanori Natsui, Akira Tamakoshi, Hiroaki Honjo, Toshinari Watanabe, Takashi Nasuno, Chaoliang Zhang, Takaho Tanigawa, Hirofumi Inoue, Masaaki Niwa, Toru Yoshiduka, Yasuo Noguchi, Mitsuo Yasuhira, Yitao Ma, Hui Shen, Shunsuke Fukami, Hideo Sato, Shoji Ikeda, Hideo Ohno, Tetsuo Endoh, Takahiro Hanyu
IEEE Journal of Solid-State Circuits 56 (4) 1116-1128 2021年4月
DOI: 10.1109/JSSC.2020.3039800
ISSN:0018-9200
eISSN:1558-173X
-
40 nm 1T-1MTJ 128 Mb STT-MRAM With Novel Averaged Reference Voltage Generator Based on Detailed Analysis of Scaled-Down Memory Cell Array Design
Hiroki Koike, Takaho Tanigawa, Toshinari Watanabe, Takashi Nasuno, Yasuo Noguchi, Mitsuo Yasuhira, Toru Yoshiduka, Yitao Ma, Hiroaki Honjo, Koichi Nishioka, Sadahiko Miura, Hirofumi Inoue, Shoji Ikeda, Tetsuo Endoh
IEEE TRANSACTIONS ON MAGNETICS 57 (3) 2021年3月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCDOI: 10.1109/TMAG.2020.3038110
ISSN:0018-9464
eISSN:1941-0069
-
W thickness dependence of spin Hall effect for (W/Hf)-multilayer electrode/CoFeB/MgO systems with flat and highly (100) oriented MgO layer
Yoshiaki Saito, Nobuki Tezuka, Shoji Ikeda, Tetsuo Endoh
AIP Advances 11 (2) 2021年2月1日
DOI: 10.1063/9.0000011
eISSN:2158-3226
-
Enhancement of magnetic coupling and magnetic anisotropy in MTJs with multiple CoFeB/MgO interfaces for high thermal stability
K. Nishioka, H. Honjo, H. Naganuma, T. V.A. Nguyen, M. Yasuhira, S. Ikeda, T. Endoh
AIP Advances 11 (2) 2021年2月1日
DOI: 10.1063/9.0000048
eISSN:2158-3226
-
Effect of surface modification treatment on top-pinned MTJ with perpendicular easy axis
H. Honjo, H. Naganuma, T. V. A. Nguyen, H. Inoue, M. Yasuhira, S. Ikeda, T. Endoh
AIP Advances 11 (2) 025211-025211 2021年2月1日
出版者・発行元:AIP PublishingDOI: 10.1063/9.0000047
eISSN:2158-3226
-
Perpendicular Magnetic Tunnel Junctions with Four Anti-ferromagnetically Coupled Co/Pt Pinning Layers
H. Honjo, K. Nishioka, S. Miura, H. Naganuma, T. Watanabe, Y. Noguchi, T. V. A. Nguyen, M. Yasuhira, S. Ikeda, T. Endoh
IEEE Transactions on Magnetics 1-1 2021年
出版者・発行元:Institute of Electrical and Electronics Engineers (IEEE)DOI: 10.1109/tmag.2021.3078710
ISSN:0018-9464
eISSN:1941-0069
-
First Demonstration of 25-nm Quad Interface p-MTJ Device With Low Resistance-Area Product MgO and Ten Years Retention for High Reliable STT-MRAM
K. Nishioka, S. Miura, H. Honjo, H. Inoue, T. Watanabe, T. Nasuno, H. Naganuma, T. V. A. Nguyen, Y. Noguchi, M. Yasuhira, S. Ikeda, T. Endoh
IEEE Transactions on Electron Devices 1-6 2021年
出版者・発行元:Institute of Electrical and Electronics Engineers (IEEE)ISSN:0018-9383
eISSN:1557-9646
-
Scalability of Quad Interface p-MTJ for 1X nm STT-MRAM with 10-ns Low Power Write Operation, 10 Years Retention and Endurance > 10¹¹
Sadahiko Miura, Koichi Nishioka, Hiroshi Naganuma, T. V.A. Nguyen, Hiroaki Honjo, Shoji Ikeda, Toshinari Watanabe, Hirofumi Inoue, Masaaki Niwa, Takaho Tanigawa, Yasuo Noguchi, Toru Yoshizuka, Mitsuo Yasuhira, Tetsuo Endoh
IEEE Transactions on Electron Devices 67 (12) 5368-5373 2020年12月
ISSN:0018-9383
eISSN:1557-9646
-
FPGA Implementation of Real-Time Pedestrian Detection Using Normalization-Based Validation of Adaptive Features Clustering
Tao Li, Yitao Ma, Hui Shen, Tetsuo Endoh
IEEE Transactions on Vehicular Technology 69 (9) 9330-9341 2020年9月
出版者・発行元:Institute of Electrical and Electronics Engineers (IEEE)ISSN:0018-9545
eISSN:1939-9359
-
Review of STT-MRAM circuit design strategies, and a 40-nm 1T-1MTJ 128Mb STT-MRAM design practice
Hiroki KOIKE, Takaho TANIGAWA, Toshinari WATANABE, Takashi NASUNO, Yasuo NOGUCHI, Mitsuo YASUHIRA, Toru YOSHIDUKA, Yitao MA, Hiroaki HONJO, Koichi NISHIOKA, Sadahiko MIURA, Hirofumi INOUE, Shoji IKEDA, Tetsuo ENDOH
2020 IEEE 31st Magnetic Recording Conference (TMRC) 2020年8月17日
出版者・発行元:IEEEDOI: 10.1109/tmrc49521.2020.9366711
-
Influence of Hard Mask Materials on the Magnetic Properties of Perpendicular MTJs With Double CoFeB/MgO Interface
H. Honjo, M. Niwa, K. Nishioka, T. V. A. Nguyen, H. Naganuma, Y. Endo, M. Yasuhira, S. Ikeda, T. Endoh
IEEE Transactions on Magnetics 56 (8) 1-4 2020年8月
出版者・発行元:Institute of Electrical and Electronics Engineers (IEEE)DOI: 10.1109/tmag.2020.3004576
ISSN:0018-9464
eISSN:1941-0069
-
Micromagnetic simulation of the temperature dependence of the switching energy barrier using string method assuming sidewall damages in perpendicular magnetized magnetic tunnel junctions
Hiroshi Naganuma, Hideo Sato, Shoji Ikeda, Tetsuo Endoh
AIP Advances 2020年7月1日
DOI: 10.1063/5.0007499
-
Scalability of Quad Interface p-MTJ for 1X nm STT-MRAM with 10 ns Low Power Write Operation, 10 years Retention and Endurance > 10^11 査読有り
VLSI Symposium 2020年6月
-
Recent progresses in STT-MRAM and SOT-MRAM for next generation MRAM 招待有り 査読有り
Tetsuo Endoh, H. Honjo, K. Nishioka, S. Ikeda
VLSI Symposium 2020年6月
-
Dual-Port Field-Free SOT-MRAM Achieving 90-MHz Read and 60-MHz Write Operations under 55-nm CMOS Technology and 1.2-V Supply Voltage 査読有り
M. Natsui, A. Tamakoshi, H. Honjo, T. Watanabe, T. Nasuno, C. Zhang, T. Tanigawa, H. Inoue, M. Niwa, T. Yoshiduka, Y. Noguchi, M. Yasuhira, Y. Ma, H. Shen, S. Fukami, H. Sato, S. Ikeda, H. Ohno, T. Endoh, T. Hanyu
VLSI Symposium 2020年6月
-
Micromagnetic simulation of the temperature dependence of the switching energy barrier using string method assuming side wall damages in perpendicular magnetized magnetic tunnel junctions 査読有り
Hiroshi Naganuma, Hideo Sato, Shoji Ikeda, Tetsuo Endoh
AIP Advanced 2020年6月
-
Effect of metallic Mg insertion in CoFeB/MgO interface perpendicular magnetic tunnel junction on tunnel magnetoresistance ratio observed by Synchrotron x-ray diffraction 査読有り
38 (3) 033801 2020年5月
DOI: 10.1116/1.5144850
-
Large spin Hall effect and increase in perpendicular magnetic anisotropy in artificially synthesized amorphous W/Hf multilayer/CoFeB system 査読有り
Saito, Y., Tezuka, N., Ikeda, S., Endoh, T.
Applied Physics Letters 116 (13) 132401-1-132401-5 2020年3月
DOI: 10.1063/5.0002642
-
A free-extendible and ultralow-power nonvolatile multi-core associative coprocessor based on MRAM with inter-core pipeline scheme for large-scale full-adaptive nearest pattern searching 査読有り
Y. Ma, S. Miura, H. Honjo, S. Ikeda, T. Endoh
Japanease Journal of Applied Physics 2020年2月
-
Magnetic properties of Co film in Pt/Co/Cr<inf>2</inf>O<inf>3</inf>/Pt structure 査読有り
T. V.A. Nguyen, Y. Shiratsuchi, H. Sato, S. Ikeda, T. Endoh, Y. Endo
AIP Advances 10 (1) 015152-1-015152-5 2020年1月1日
DOI: 10.1063/1.5130439
eISSN:2158-3226
-
Normalization-Based Validity Index of Adaptive K-Means Clustering for Multi-Solution Application
Tao Li, Yitao Ma, Tetsuo Endoh
IEEE Access 8 9403-9419 2020年
出版者・発行元:Institute of Electrical and Electronics Engineers (IEEE)DOI: 10.1109/access.2020.2964763
eISSN:2169-3536
-
A Systematic Study of Tiny YOLO3 Inference: Toward Compact Brainware Processor with Less Memory and Logic Gate
Tao Li, Yitao Ma, Tetsuo Endoh
IEEE Access 8 142931-142955 2020年
DOI: 10.1109/ACCESS.2020.3013934
eISSN:2169-3536
-
Structural Analysis of CoFeB/MgO-based Perpendicular MTJs with Junction Size of 20 nm by STEM Tomography 査読有り
M. Niwa, K. Kimura, T. Naijo, A. Oshurahunov, S. Nagamachi, H. Inoue, H. Honjo, S. Ikeda, T. Endoh
IEEE Transactions on Magnetics 1-1 2020年
出版者・発行元:Institute of Electrical and Electronics Engineers (IEEE)DOI: 10.1109/tmag.2020.3008436
ISSN:0018-9464
eISSN:1941-0069
-
Oxidation-enhanced Si self-diffusion in isotopically modulated silicon nanopillars 査読有り
Kiga, Ryotaro, Hayashi, Sayaka, Miyamoto, Satoru, Shimizu, Yasuo, Nagai, Yasuyoshi, Endoh, Tetsuo, Itoh, Kohei M.
Journal of Applied Physics 127 (4) 045704/1-045704/6 2020年
DOI: 10.1063/1.5134105
-
Spin Hall effect investigated by spin Hall magnetoresistance in Pt100−xAux/CoFeB systems 査読有り
Yoshiaki Saito, Nobuki Tezuka, Shoji Ikeda, Tetsuo Endoh
AIP Advances 9 125312-1-125312-5 2019年12月
DOI: 10.1063/1.5129889
-
Effect of capping layer material on thermal tolerance of magnetic tunnel junctions with MgO/CoFeB-based free layer/MgO/capping layers
H. Honjo, T. V. A. Nguyen, M. Yasuhira, M. Niwa, S. Ikeda, H. Sato, T. Endoh
AIP Advances 9 (12) 125330-125330 2019年12月1日
出版者・発行元:AIP PublishingDOI: 10.1063/1.5129794
eISSN:2158-3226
-
First demonstration of field-free SOT-MRAM with 0.35 ns write speed and 70 thermal stability under 400℃ thermal tolerance by canted SOT structure and its advanced patterning/SOT channel technology 査読有り
International Electron Device Meeting 2019年12月
DOI: 10.1109/IEDM19573.2019.8993443
-
First-principles study of pressure and SiO-incorporation effect on dynamical properties of silicon oxide 査読有り
Hiroyuki Kageshima, Yuji Yajima, Kenji Shiraishi, Tetsuo Endoh
Japanese Journal of Applied Physics 58 (11) 111004-111004 2019年11月1日
出版者・発行元:IOP PublishingISSN:0021-4922
eISSN:1347-4065
-
Variance reduction during the fabrication of sub-20-nm Si cylindrical nanopillars for vertical gate-all-around MOSFETs 査読有り
Shujun Ye, Kikuo Yamabe, Tetsuo Endoh
ACS Omega 2019年10月
-
Low-density oxide grown thermally on sidewall of Si nanopillars 査読有り
Shujun Ye, Kikuo Yamabe, Tetsuo Endoh
Materials Letters 2019年10月
DOI: 10.1016/j.matlet.2019.126780
-
Effect of surface modification treatment for buffer layer on thermal tolerance of synthetic ferrimagnetic reference layer in perpendicular-anisotropy magnetic tunnel junctions 査読有り
Journal of Applied Physics 126 113902 2019年9月
DOI: 10.1063/1.5112017
-
Novel Quad Interface MTJ Technology and Its First Demonstration with High Thermal Stability and Switching Efficiency for STT-MRAM Beyond 2Xnm 査読有り
2019 Symposia on VLSI Technology and Circuits 2019年6月
DOI: 10.23919/VLSIT.2019.8776499
-
Oxidation-induced stress in Si nanopillars 査読有り
Shujun Ye, Kikuo Yamabe, Tetsuo Endoh
Journal of Materials Science 54 11117-11126 2019年5月
DOI: 10.1007/s10853-019-03670-x
-
Change in chemical bonding state by thermal treatment in MgO-based magnetic tunnel junction observed by angle-resolved hard X-ray photoelectron spectroscopy 査読有り
Masaaki Niwa, Akira Yasui, Eiji Ikenaga, Hiroaki Honjo, Shoji Ikeda, Tetsuya Nakamura, Tetsuo Endoh
Journal of Applied Physics 125 (203903) 2019年5月
DOI: 10.1063/1.5094067
-
12.1 An FPGA-Accelerated Fully Nonvolatile Microcontroller Unit for Sensor-Node Applications in 40nm CMOS/MTJ-Hybrid Technology Achieving 47.14μW Operation at 200MHz
Masanori Natsui, Daisuke Suzuki, Akira Tamakoshi, Toshinari Watanabe, Hiroaki Honjo, Hiroki Koike, Takashi Nasuno, Yitao Ma, Takaho Tanigawa, Yasuo Noguchi, Mitsuo Yasuhira, Hideo Sato, Shoji Ikeda, Hideo Ohno, Tetsuo Endoh, Takahiro Hanyu
Digest of Technical Papers - IEEE International Solid-State Circuits Conference 2019-February 202-204 2019年3月6日
DOI: 10.1109/ISSCC.2019.8662431
ISSN:0193-6530
-
A 47.14-µW 200-MHz MOS/MTJ-Hybrid Nonvolatile Microcontroller Unit Embedding STT-MRAM and FPGA for IoT Applications. 査読有り
Masanori Natsui, Daisuke Suzuki, Akira Tamakoshi, Toshinari Watanabe, Hiroaki Honjo, Hiroki Koike, Takashi Nasuno, Yitao Ma, Takaho Tanigawa, Yasuo Noguchi, Mitsuo Yasuhira, Hideo Sato, Shoji Ikeda, Hideo Ohno, Tetsuo Endoh, Takahiro Hanyu
J. Solid-State Circuits 54 (11) 2991-3004 2019年
DOI: 10.1109/JSSC.2019.2930910
-
Edge effect in the oxidation of three-dimensional nano-structured silicon 査読有り
Shujun Ye, Tetsuo Endoh
Materials Science in Semiconductor Processing 93 266-273 2019年1月
DOI: 10.1016/j.mssp.2019.01.023
-
Increase in spin-Hall effect and influence of anomalous Nernst effect on spin-Hall magnetoresistance in β-phase and α-phase W <inf>100-x</inf> Ta <inf>x</inf> /CoFeB systems
Yoshiaki Saito, Nobuki Tezuka, Shoji Ikeda, Hideo Sato, Tetsuo Endoh
Applied Physics Express 12 2019年1月1日
ISSN:1882-0778
-
A Fully Nonvolatile Microcontroller Unit with Embedded STT-MRAM and FPGA-Based Accelerator for Sensor-Node Applications in 40nm CMOS/MTJ-Hybrid Technology 査読有り
M. Natsui, D. Suzuki, A. Tamakoshi, T. Watanabe, H. Honjo, H. Koike, T. Nasuno, Y. Ma, T. Tanigawa, Y. Noguchi, M. Yasuhira, H. Sato, S. Ikeda, H. Ohno, T. Endoh, T. Hanyu
IEEE Journal of Solid State Circuits 2019年
DOI: 10.1109/JSSC.2019.2930910
-
Critical role of sputtering condition for reference layer on magnetic and transport properties of perpendicular-anisotropy magnetic tunnel junction. 査読有り
H. Honjo, H. Sato, S. Ikeda, T. Endoh
IEEE. Transaction on Magnetics 2019年1月
DOI: 10.1109/TMAG.2019.2897067
-
Insertion Layer Thickness Dependence of Magnetic and Electrical Properties for Double CoFeB/MgO Interface Magnetic Tunnel Junctions 査読有り
S.Miura, H.Sato, S.Ikeda, K. Nishioka, H.Honjo, T.Endoh
IEEE. Transaction on Magnetics 2019年
DOI: 10.1109/TMAG.2019.2901841
-
14ns write speed 128Mb density Embedded STT-MRAM with endurance>10^10 and 10yrs retention @85°C using novel low damage MTJ integration process 査読有り
H. Sato, H. Honjo, T. Watanabe, M. Niwa, H. Koike, S. Miura, T. Saito, H. Inoue, T. Nasuno, T. Tanigawa, Y. Noguchi, T. Yoshiduka, M. Yasuhira, S. Ikeda, S.- Y. Kang, T. Kubo, K. Yamashita, Y. Yagi, R. Tamura, T. Endoh
International Electron Devise Meeting 2018年12月
DOI: 10.1109/IEDM.2018.8614606
-
A Recent Progress of Spintronics Devices for Integrated Circuit Applications 査読有り
Tetsuo Endoh, Hiroaki Honjo
Journal of Low Power Electronics and Applications 8 (4) 2018年11月
DOI: 10.3390/jlpea8040044
-
STEM tomography study on structural features induced by MTJ processing 査読有り
Masaaki Niwa, Kosuke Kimura, Toshinari Watanabe, Takanori Naijou, Hiroaki Honjo, Shoji Ikeda, Tetsuo Endoh
Applied Physics A 124 (724) 2018年10月
DOI: 10.1007/s00339-018-2144-x
ISSN:0947-8396
-
Model of inter-cell interference phenomenon in 10nm magnetic tunnel junction with perpendicular anisotropy array due to oscillatory stray field from neighboring cells 査読有り
Satoshi Ohuchida, Tetsuo Endoh
Japanese Journal of Applied Physics 57 (6) 2018年6月1日
出版者・発行元:Japan Society of Applied PhysicsISSN:1347-4065 0021-4922
-
Reconsideration of Si pillar thermal oxidation mechanism 査読有り
Kageshima Hiroyuki, Shiraishi Kenji, Endoh Tetsuo
JAPANESE JOURNAL OF APPLIED PHYSICS 57 (6) 2018年6月
ISSN:0021-4922
-
Oxygen concentration dependence of silicon oxide dynamical properties 査読有り
Yajima Yuji, Shiraishi Kenji, Endoh Tetsuo, Kageshima Hiroyuki
JAPANESE JOURNAL OF APPLIED PHYSICS 57 (6) 2018年6月
ISSN:0021-4922
-
MTJ-based nonvolatile logic LSI for ultra low-power and highly dependable computing 査読有り
Masanori Natsui, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
China Semiconductor Technology International Conference 2018, CSTIC 2018 1-4 2018年5月29日
出版者・発行元:Institute of Electrical and Electronics Engineers Inc.DOI: 10.1109/CSTIC.2018.8369189
-
1T-1MTJ Type Embedded STT-MRAM with Advanced Low-Damage and Short-Failure-Free RIE Technology down to 32 nmφ MTJ Patterning 査読有り
Hideo Sato, Toshinari Watanabe, Hiroki Koike, Takashi Saito, Sadahiko Miura, Hiroaki Honjo, Hirofumi Inoue, Shoji Ikeda, Yasuo Noguchi, Takaho Tanigawa, Mitsuo Yasuhira, Hideo Ohno, Song Yun Kang, Takuya Kubo, Koichi Takatsuki, Koji Yamashita, Yasushi Yagi, Ryo Tamura, Takuro Nishimura, Koh Murata, Tetsuo Endoh
2018 IEEE International Memory Workshop (IMW) 2018年5月
出版者・発行元:IEEE -
Loss analysis and optimum design of a highly efficient and compact CMOS DC-DC converter with novel transistor layout using 60nm multipillar-type vertical body channel MOSFET 査読有り
Kazuki Itoh, Tetsuo Endoh
Japanese Journal of Applied Physics 57 (4) 2018年4月1日
出版者・発行元:Japan Society of Applied PhysicsISSN:1347-4065 0021-4922
-
Cross-point-type spin-transfer-torque magnetoresistive random access memory cell with multi-pillar vertical body channel MOSFET 査読有り
Taro Sasaki, Tetsuo Endoh
Japanese Journal of Applied Physics 57 (4) 2018年4月1日
出版者・発行元:Japan Society of Applied PhysicsISSN:1347-4065 0021-4922
-
First-principles calculations of orientation dependence of Si thermal oxidation based on Si emission model 査読有り
Nagura Takuya, Kawachi Shingo, Chokawa Kenta, Shirakawa Hiroki, Araidai Masaaki, Kageshima Hiroyuki, Endoh Tetsuo, Shiraishi Kenji
JAPANESE JOURNAL OF APPLIED PHYSICS 57 (4) 2018年4月
ISSN:0021-4922
-
Novel Method of Evaluating Accurate Thermal Stability for MTJs Using Thermal Disturbance and its Demonstration for Single-/Double-Interface p-MTJ 査読有り
Takashi Saito, Kenchi Ito, Hiroaki Honjo, Shoji Ikeda, Tetsuo Endoh
IEEE Transactions on Magnetics 54 (4) 1-1 2018年4月1日
出版者・発行元:Institute of Electrical and Electronics Engineers Inc.DOI: 10.1109/TMAG.2017.2688440
ISSN:0018-9464
-
Influence of Oxygen Concentration of Si Wafer Surface in Si Emission on Nano-Ordered Three-Dimensional Structure Devices 査読有り
Fukuda Etsuo, Endoh Tetsuo, Ishikawa Takashi, Izunome Koji, Kamijo Kazutaka, Miyashita Moriya, Sakamoto Takao, Kageshima Hiroyuki
E-JOURNAL OF SURFACE SCIENCE AND NANOTECHNOLOGY 15 127-134 2017年12月14日
ISSN:1348-0391
-
Impact of Tungsten Sputtering Condition on Magnetic and Transport Properties of Double-MgO Magnetic Tunneling Junction With CoFeB/W/CoFeB Free Layer 査読有り
H. Honjo, S. Ikeda, H. Sato, K. Nishioka, T. Watanabe, S. Miura, T. Nasuno, Y. Noguchi, M. Yasuhira, T. Tanigawa, H. Koike, H. Inoue, M. Muraguchi, M. Niwa, H. Ohno, T. Endoh
IEEE TRANSACTIONS ON MAGNETICS 53 (11) 1-1 2017年11月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCDOI: 10.1109/TMAG.2017.2701838
ISSN:0018-9464
eISSN:1941-0069
-
Soft errors in 10-nm-scale magnetic tunnel junctions exposed to high-energy heavy-ion radiation
Daisuke Kobayashi, Kazuyuki Hirose, Takahiro Makino, Shinobu Onoda, Takeshi Ohshima, Shoji Ikeda, Hideo Sato, Eli Christopher Inocencio Enobio, Tetsuo Endoh, Hideo Ohno
JAPANESE JOURNAL OF APPLIED PHYSICS 56 (8) 2017年8月
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
Fast neutron tolerance of the perpendicular-anisotropy CoFeB-MgO magnetic tunnel junctions with junction diameters between 46 and 64 nm 査読有り
Yuzuru Narita, Yutaka Takahashi, Masahide Harada, Kenichi Oikawa, Daisuke Kobayashi, Kazuyuki Hirose, Hideo Sato, Shoji Ikeda, Tetsuo Endoh, Hideo Ohno
JAPANESE JOURNAL OF APPLIED PHYSICS 56 (8) 2017年8月
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
High Performance STT-MRAM and 3D NAND Memory with Vertical MOSFET Technology 招待有り
Tetsuo Endoh
Communications Microsystems Optoelectronics Sensors Emerging Technologies Research 2017 (CMOSETR2017) 2017年5月29日
-
Innovative Integrated Systems for IoT/AI 招待有り
Tetsuo Endoh
Indiana University-Purdue University Indianapolis Nanotechnology Workshop 2017年5月9日
-
Origin of variation of shift field via annealing at 400◦C in a perpendicular-anisotropy magnetic tunnel junction with [Co/Pt]-multilayers based synthetic ferrimagnetic reference layer 査読有り
Takahiro Hanyu, Tetsuo Endoh, Daisuke Suzuki, Hiroki Koike, Yitao Ma, Naoya Onizawa, Masanori Natsui, Shoji Ikeda, Hideo Ohno
AIP Advances 7 (5) 055913-1-055913-5 2017年5月
出版者・発行元:NoneDOI: 10.1063/1.4973946
ISSN:2158-3226
-
Integrated voltage regulators with high-side NMOS power switch and dedicated bootstrap driver using vertical body channel MOSFET under 100 MHz switching frequency for compact system and efficiency enhancement 査読有り
Kazuki Itoh, Masakazu Muraguchi, Tetsuo Endoh
JAPANESE JOURNAL OF APPLIED PHYSICS 56 (4) 743-744 2017年4月
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
Fabrication of a magnetic-tunnel-junction-based nonvolatile logic-in-memory LSI with content-aware write error masking scheme achieving 92% storage capacity and 79% power reduction 査読有り
Masanori Natsui, Akira Tamakoshi, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
JAPANESE JOURNAL OF APPLIED PHYSICS 56 (4) 04CN01-1-04CN01-5 2017年4月
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
A spin transfer torque magnetoresistance random access memory-based high-density and ultralow-power associative memory for fully data-adaptive nearest neighbor search with current-mode similarity evaluation and time-domain minimum searching 査読有り
Yitao Ma, Sadahiko Miura, Hiroaki Honjo, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno, Tetsuo Endoh
JAPANESE JOURNAL OF APPLIED PHYSICS 56 (4) 04CF08-1-04CF08-9 2017年4月
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
Ion beam etching process for high-density spintronic devices and its damage recovery by the oxygen showering post-treatment process 査読有り
Junho Jeong, Tetsuo Endoh
JAPANESE JOURNAL OF APPLIED PHYSICS 56 (4) 04CE09-1-04CE09-5 2017年4月
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
Integrated voltage regulators with high-side NMOS power switch and dedicated bootstrap driver using vertical body channel MOSFET under 100 MHz switching frequency for compact system and efficiency enhancement 査読有り
Kazuki Itoh, Masakazu Muraguchi, Tetsuo Endoh
JAPANESE JOURNAL OF APPLIED PHYSICS 56 (4) 04CF14-1-04CF14-7 2017年4月
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
IoT/AIチップの革新的集積システム開発プラットフォーム 招待有り
遠藤哲郎
CRDSシンポジウム 2017年3月7日
-
Design of a variation-resilient single-ended non-volatile six-input lookup table circuit with a redundant-magnetic tunnel junction-based active load for smart Internet-of-things applications 査読有り
D. Suzuki, M. Natsui, S. Ikeda, T. Endoh, H. Ohno, T. Hanyu
ELECTRONICS LETTERS 53 (7) 456-458 2017年3月
出版者・発行元:INST ENGINEERING TECHNOLOGY-IETDOI: 10.1049/el.2016.4233
ISSN:0013-5194
eISSN:1350-911X
-
IoT・ビッグデータ社会に向けた新たなメモリ技術と、そのシステム 招待有り
遠藤哲郎
第4回InfoEver研究会 2017年1月27日
-
Embedded Nonvolatile Memory with STT-MRAMs and its Application for Nonvolatile Brain-Inspired VLSIs 招待有り
Tetsuo Endoh
2017 INTERNATIONAL SYMPOSIUM ON VLSI TECHNOLOGY, SYSTEMS AND APPLICATION (VLSI-TSA) 2017年
出版者・発行元:IEEEISSN:1930-8868
-
Embedded Nonvolatile Memory with STT-MRAMs and its Application for Nonvolatile Brain-Inspired VLSIs 招待有り 査読有り
Tetsuo Endoh
2017 INTERNATIONAL SYMPOSIUM ON VLSI DESIGN, AUTOMATION AND TEST (VLSI-DAT) 2017年
出版者・発行元:IEEEDOI: 10.1109/VLSI-DAT.2017.7939702
ISSN:2474-2724
-
IoTに求められる革新的エレクトロニクス技術 ~オープンイノベーション型産学地域連携の重要性 招待有り
遠藤哲郎
ものづくりイノベーションセミナー 2016年12月20日
-
STT-MRAM and CMOS/MTJ Hybrid NV-Logic for Future Low Power System 招待有り
遠藤哲郎
SEMICON Japan 2016 2016年12月16日
-
Beyond MRAM: Nonvolatile Logic-in-Memory VLSI 査読有り
Takahiro Hanyu, Tetsuo Endoh, Shoji Ikeda, Tadahiko Sugibayashi, Naoki Kasai, Daisuke Suzuki, Masanori Natsui, Hiroki Koike, Hideo Ohno
Introduction to Magnetic Random-Access Memory 199-229 2016年11月26日
出版者・発行元:wileyDOI: 10.1002/9781119079415.ch7
-
Nonvolatile Brain-Inspired VLSIs Based on CMOS/MTJ Hybrid Technology for Ultralow-Power Performance and Compact Chip 招待有り 査読有り
Tetsuo Endoh
61st Annual Conference on Magnetism and Magnetic Materials (MMM) 2016年11月2日
-
STT-MRAM and MTJ/CMOS Hybrid NV-logic for Low Power Systems 招待有り
Tetsuo Endoh
EMN LasVegas Meetings 2016年10月12日
-
Standby-Power-Free Integrated Circuits Using MTJ-Based VLSI Computing 査読有り
Takahiro Hanyu, Tetsuo Endoh, Daisuke Suzuki, Hiroki Koike, Yitao Ma, Naoya Onizawa, Masanori Natsui, Shoji Ikeda, Hideo Ohno
PROCEEDINGS OF THE IEEE 104 (10) 1844-1863 2016年10月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCDOI: 10.1109/JPROC.2016.2574939
ISSN:0018-9219
eISSN:1558-2256
-
Study about the Ion Beam Etching (IBE) Process for the High Density Spintronic Devices and its Damage Recovery by the Oxygen Showering Post-treatment (OSP) 査読有り
J.Jeong, T.Endoh
International Conference on Solid State Devices and Materials (SSDM) B-2-02 75-76 2016年9月26日
-
Highly Reliable MTJ-Based Nonvolatile Logicin-Memory LSI with Content-Aware Write Error Masking Scheme 査読有り
M.Natsui, A.Tamakoshi, T.Endoh, H.Ohno, T.Hanyu
International Conference on Solid State Devices and Materials (SSDM) B-2-03 77-78 2016年9月26日
-
A Compact and Ultra-Low-Power STT-MRAMBased Associative Memory for Nearest Neighbor Search with Full Adaptivity of Template Data Format Employing Current-Mode Similarity Evaluation and Time-Domain Minimum Searching 査読有り
Y.Ma, S.Miura, H.Honjo, S.Ikeda, T.Hanyu, H.Ohno, T.Endoh
International Conference on Solid State Devices and Materials (SSDM) B-2-06 83-84 2016年9月26日
-
New Model of Switching Delay Induced by Modulation Effect of Damping and STT Pumping Balance with Programing Current and Interference Phenomena in p-MTJ Array 査読有り
S.Ohuchida, K.Ito, M.Muraguchi, T.Endoh
International Conference on Solid State Devices and Materials (SSDM) G-3-04 331-332 2016年9月26日
-
(Invited) Silicon Emission Mechanism for Oxidation Process of Non-Planar Silicon 査読有り
H. Kageshima, K. Shiraishi, T. Endoh
ECS Transactions 75 (5) 215-226 2016年9月23日
出版者・発行元:The Electrochemical SocietyISSN:1938-6737
eISSN:1938-5862
-
First Principles Study on the Strain Dependence of Thermal Oxidation and Hydrogen Annealing Effect at Si/SiO2 Interface in V-MOSFET 査読有り
K. Shingo, H. Shirakawa, M. Araidai, H. Kageshima, T. Endoh, K. Shiraishi
ECS Transactions 75 (5) 293-299 2016年9月23日
出版者・発行元:The Electrochemical SocietyISSN:1938-6737
eISSN:1938-5862
-
Low Power NV-Working Memory and NV-Logic with Spintronics/CMOS Hybrid ULSI Technology 招待有り 査読有り
遠藤哲郎
第40回日本磁気学会学術講演会 2016年9月5日
-
Highly Reliable MTJ-Based Motion-Vector Prediction Unit with Dynamic Write Error Masking Scheme 査読有り
Masanori Natsui, Akira Tamakoshi, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
Proc. of 2016 International Conference on Solid State Devices and Materials 77-78 2016年9月
-
STT-MRAM and MTJ/CMOS Hybrid NV-Logic for Ultra Low Power Systems 招待有り 査読有り
遠藤哲郎
ナノデバイス科学研究会--第3回実用スピントロニクス新分野創成研究会 2016年8月19日
-
Extension of silicon emission model to silicon pillar oxidation 査読有り
Hiroyuki Kageshima, Kenji Shiraishi, Tetsuo Endoh
JAPANESE JOURNAL OF APPLIED PHYSICS 55 (8) 08PE02-1-08PE02-5 2016年8月
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
Novel current collapse mode induced by source leakage current in AlGaN/GaN high-electron-mobility transistors and its impact 査読有り
Kunihiro Tsubomi, Masakazu Muraguchi, Tetsuo Endoh
JAPANESE JOURNAL OF APPLIED PHYSICS 55 (8) 08PD06-1-08PD06-5 2016年8月
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
Stochastic behavior-considered VLSI CAD environment for MTJ/MOS-hybrid microprocessor design 査読有り
M. Natsui, A. Tamakoshi, A. Mochizuki, H. Koike, H. Ohno, T. Endoh, T. Hanyu
Proceedings - IEEE International Symposium on Circuits and Systems 2016- 1878-1881 2016年7月29日
出版者・発行元:Institute of Electrical and Electronics Engineers Inc.DOI: 10.1109/ISCAS.2016.7538938
ISSN:0271-4310
-
CIESコンソーシアムにおける産学連携 招待有り 査読有り
遠藤哲郎
シリコン超集積化システム第165委員会第82回研究会 2016年7月22日
-
High Performance STT-MRAM and 3D NAND Memory with Spintronics and Vertical MOSFET Technology 招待有り 査読有り
遠藤哲郎
SEMICON WEST 2016 2016年7月13日
-
Effect of MTJ resistance fluctuations on synapse stability of MTJ-based nonvolatile neuron circuit for high-speed object recognition 査読有り
Y. Ma, T. Endoh
Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2016) B5-6 2016年7月5日
-
Novel inductor current to digital converter and its concept evaluation 査読有り
K. Itoh, M. Muraguchi, T. Endoh
Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2016) B1-5 2016年7月4日
-
Improvement of Thermal Tolerance of CoFeB-MgO Perpendicular-Anisotropy Magnetic Tunnel Junctions by Controlling Boron Composition 査読有り
H. Honjo, S. Ikeda, H. Sato, S. Sato, T. Watanabe, S. Miura, T. Nasuno, Y. Noguchi, M. Yasuhira, T. Tanigawa, H. Koike, M. Muraguchi, M. Niwa, K. Ito, H. Ohno, T. Endoh
IEEE TRANSACTIONS ON MAGNETICS 52 (7) 1-4 2016年7月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCDOI: 10.1109/TMAG.2016.2518203
ISSN:0018-9464
eISSN:1941-0069
-
An Overview of Nonvolatile Emerging Memories-Spintronics for Working Memories 招待有り 査読有り
Tetsuo Endoh, Hiroki Koike, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno
IEEE JOURNAL ON EMERGING AND SELECTED TOPICS IN CIRCUITS AND SYSTEMS 6 (2) 109-119 2016年6月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCDOI: 10.1109/JETCAS.2016.2547704
ISSN:2156-3357
-
3次元構造技術とスピントロニクス技術による 半導体メモリの新展開 招待有り 査読有り
遠藤哲郎
創発物性科学研究センターコロキウム 2016年5月25日
-
適応型リファレンス電圧生成回路を用いた1T1MTJ STT-MRAMセルアレイ設計 招待有り 査読有り
小池 洋紀, 三浦 貞彦, 本庄 弘明, 渡辺 俊成, 佐藤 英夫, 佐藤 創志, 那須野 孝, 野口 靖夫, 安平 光雄, 谷川 高穂, 村口 正和, 丹羽 正昭, 伊藤 顕知, 池田 正二, 大野 英男, 遠藤 哲郎
電子情報通信学会技術研究報告 2016年4月14日
-
Study on initial current leakage spots in CoFeB-capped MgO tunnel barrier by conductive atomic force microscopy 査読有り
Soshi Sato, Hiroaki Honjo, Shoji Ikeda, Hideo Ohno, Tetsuo Endoh, Masaaki Niwa
JAPANESE JOURNAL OF APPLIED PHYSICS 55 (4) 04EE05-1-04EE05-7 2016年4月
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
A 600-μW Ultra-Low-Power Associative Processor for Image Pattern Recognition Employing MTJ-Based Nonvolatile Memories with Autonomic Intelligent Power-Gating (IPG) Scheme 査読有り
Yitao Ma, Sadahiko Miura, Hiroaki Honjo, Shoji Ikeda, TakahiroHanyu, Hideo Ohno, Tetsuo Endoh
Japanese Journal of Applied Physics(JJAP) 55 (4) 04EF15-1-04EF15-7 2016年4月
出版者・発行元:NoneISSN:0021-4922
eISSN:1347-4065
-
Novel High Performance NV-Working Memory with Spintronics and Vertical MOSFET Technology 招待有り 査読有り
Tetsuo Endoh
2016 MRS Spring Meeting&Exhibit EP11.1.08 2016年3月28日
-
Formation mechanism of concave by dielectric breakdown on silicon carbide metal-oxide-semiconductor capacitor 査読有り
Soshi Sato, Kikuo Yamabe, Tetsuo Endoh, Masaaki Niwa
MICROELECTRONICS RELIABILITY 58 185-191 2016年3月
出版者・発行元:PERGAMON-ELSEVIER SCIENCE LTDDOI: 10.1016/j.microrel.2015.09.016
ISSN:0026-2714
-
MTJ based Non-Volatile Microcontroller and its MTJ/CMOS Hybrid Technology 招待有り 査読有り
Tetsuo Endoh
1st ImPACT International Symposium on Spintronic Memory, Circuit and Storage 2016年1月22日
-
Si/SiO2(100)界面における熱酸化過程、水素アニール効果の 歪み依存性に関する理論的研究
川内伸悟, 白川裕規, 洗平昌晃, 影島愽之, 遠藤哲郎, 白石賢二
電子デバイス界面テクノロジー研究会-材料・プロセス・デバイス特性の物理-(第21回) P-28 221-224 2016年1月22日
-
磁気トンネル接合素子のMgO 膜における初期電流リークスポット密度のconductive AFM 法による評価手法解析
佐藤創志, 本庄弘明, 池田正二, 大野英男, 遠藤哲郎, 丹羽正昭
電子デバイス界面テクノロジー研究会-材料・プロセス・デバイス特性の物理-(第21回) 3-2 31-34 2016年1月22日
-
Poly-Si 電極を用いたSiC MOS キャパシタの絶縁破壊後に見出した特徴的な破壊箇所
佐藤創志, 山部紀久夫, 遠藤哲郎, 丹羽正昭
電子デバイス界面テクノロジー研究会-材料・プロセス・デバイス特性の物理-(第21回) 7-4 93-96 2016年1月22日
-
スピントロニクスのデバイス応用 招待有り 査読有り
遠藤哲郎, 小池洋紀, 池田正二, 羽生貴弘, 大野英男
電子情報通信学会論文誌 C J99-C (1) 1-9 2016年1月14日
-
Optimum boron concentration difference between single and double CoFeB/MgO interface perpendicular MTJs with high thermal tolerance and its mechanism 査読有り
H. Honjo, H. Sato, S. Ikeda, S. Sato, T. Watanebe, S. Miura, T. Nasuno, Y. Noguchi, M.Yasuhira, T.Tanigawa, H.Koike, M.Muraguchi, M.Niwa, K.Ito, H.Ohno, T.Endoh
13th Joint MMM-Intermag Conference FB-06 2016年1月14日
-
Electric and magnetic improvements of the patterned MTJs by the damage recovery using the novel oxygen showering post-treatment (OSP) process at the argon ion milling etching (AIME) scheme 査読有り
J. Jeong, T. Endoh
13th Joint MMM-Intermag Conference BT-02 2016年1月14日
-
Increase of Critical Switching Current Density of 10 nm p-MTJ in 4F2 Cell Array Due to Inter-cell Interference Phenomenon 査読有り
S. Ohuchida, M. Murauchi, K. Itoh, T. Endoh
13th Joint MMM-Intermag Conference GV-01 2016年1月12日
-
シリコンピラー酸化の理論的考察 招待有り
影島博之, 白石賢二, 遠藤哲郎
平成27年度静岡大学電子工学研究所共同研究プロジェクト合同研究会 47-48 2016年1月8日
-
Silicon Emission Mechanism for Oxidation Process of Non-Planar Silicon 査読有り
H. Kageshima, K. Shiraishi, T. Endoh
SEMICONDUCTORS, DIELECTRICS, AND METALS FOR NANOELECTRONICS 14 75 (5) 215-226 2016年
出版者・発行元:ELECTROCHEMICAL SOC INCISSN:1938-5862
-
First principles study on the strain dependence of thermal oxidation and hydrogen annealing effect at Si/SiO2 interface in V-MOSFET 査読有り
Kawachi Shingo, Shirakawa Hiroki, Araidai Masaaki, Kageshima Hiroyuki, Endoh Tetsuo, Shiraishi Kenji
SEMICONDUCTORS, DIELECTRICS, AND METALS FOR NANOELECTRONICS 14 75 (5) 293-299 2016年
ISSN:1938-5862
-
Failure analysis of a SiC MOS capacitor with a poly-Si gate electrode 査読有り
Soshi Sato, Kikuo Yamabe, Tetsuo Endoh, Masaaki Niwa
Materials Science Forum 858 485-488 2016年
出版者・発行元:Trans Tech Publications LtdDOI: 10.4028/www.scientific.net/MSF.858.485
ISSN:0255-5476
-
Demonstration of yield improvement for on-via MTJ using a 2-Mbit 1T-1MTJ STT-MRAM test chip 査読有り
Hiroki Koike, Sadahiko Miura, Hiroaki Honjo, Toshinari Watanabe, Hideo Sato, Soshi Sato, Takashi Nasuno, Yasuo Noguchi, Mitsuo Yasuhira, Takaho Tanigawa, Masakazu Muraguchi, Masaaki Niwa, Kenchi Ito, Shoji Ikeda, Hideo Ohno, Tetsuo Endoh
2016 IEEE 8TH INTERNATIONAL MEMORY WORKSHOP (IMW) 1-4 2016年
出版者・発行元:IEEEISSN:2330-7978
-
Stochastic Behavior-Considered VLSI CAD Environment for MTJ/MOS-Hybrid Microprocessor Design 査読有り
M. Natsui, A. Tamakoshi, A. Mochizuki, H. Koike, H. Ohno, T. Endoh, T. Hanyu
2016 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS) 1878-1881 2016年
出版者・発行元:IEEEDOI: 10.1109/ISCAS.2016.7538938
ISSN:0271-4302
-
Failure analysis of a SiC MOS capacitor with a poly-Si gate electrode 査読有り
Soshi Sato, Kikuo Yamabe, Tetsuo Endoh, Masaaki Niwa
Materials Science Forum 858 485-488 2016年
出版者・発行元:Trans Tech Publications LtdDOI: 10.4028/www.scientific.net/MSF.858.485
ISSN:0255-5476
-
High Accurate and Low Loss Current Sensing Method with Novel Current Path Narrowing Method for DC-DC Converters and its Demonstration 査読有り
Kazuki Itoh, Masakazu Muraguchi, Tetsuo Endoh
2016 IEEE INTERNATIONAL TELECOMMUNICATIONS ENERGY CONFERENCE (INTELEC) 1-6 2016年
出版者・発行元:IEEEDOI: 10.1109/INTLEC.2016.7749132
ISSN:0275-0473
-
東北大学国際集積エレクトロニクス研究開発センター(CIES) 招待有り
遠藤哲郎
SEMICON Japan 2015 (1174) 97-101 2015年12月16日
出版者・発行元:日経BP社ISSN:0385-1680
-
国際産学共同研究による革新的省エネルギー集積エレクトロニクスの創出~材料・デバイスから回路・システムまで~ 招待有り
遠藤哲郎
東北大学イノベーションフェア2015 2015年12月9日
-
IoT社会を支えるパワーデバイス技術と革新的パワーマネージメント技術 招待有り
遠藤哲郎
東京フォーラム2015 2015年11月25日
-
High-Density and Low-Power Applications of Spintronics Circuits: 1T1MTJ-MRAM Array Design, and 4T2MTJ-MRAM-based Pattern Recognition Processor 招待有り 査読有り
H. Koike, Y. Ma, T. Endoh
International Workshop: Spintronics VLSI, 2015年11月21日
-
Novel High Performance NV-Working Memory with Spintronics and Vertical MOSFET Technology 招待有り 査読有り
T. Endoh
The 11th International Workshop on Radiation Effects on Semiconductor Devices for Space Applications(11th RASEDA) 13-1 2015年11月13日
-
MTJ素子を活用した高性能・高信頼VLSI設計技術 招待有り 査読有り
夏井雅典, 鈴木大輔, 池田正二, 遠藤哲郎, 大野英男, 羽生貴弘
応用物理学会スピントロニクス研究会・日本磁気学会スピンエレクトロニクス専門研究会・日本磁気学会ナノマグネティックス専門研究会共同主催研究会 2015年11月12日
-
Extension of Silicon Emission Model for Silicon Pillar Oxidation 査読有り
H. Kageshima, K. Shiraishi, T. Endoh
2015 International Workshop on Dielectric Thin Films for Future Electron Devices Science and Technology(2015IWDTF) S5-4 99-100 2015年11月4日
-
Novel Current Collapse Mode Induced by Source Leakage Current in AlGaN/GaN HEMTs 査読有り
K. Tsubomi, M. Muraguchi, T. Endoh
2015 International Workshop on Dielectric Thin Films for Future Electron Devices Science and Technology(2015IWDTF) S4-2 83-84 2015年11月3日
-
Driving Force in Diffusion and Redistribution of Reducing Agents During Redox Reaction on the Surface of CoFeB Film 査読有り
S. Sato, H. Honjo, S. Ikeda, H. Ohno, T. Endoh, M. Niwa
IEEE TRANSACTIONS ON MAGNETICS 51 (11) 1-4 2015年11月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCDOI: 10.1109/TMAG.2015.2434840
ISSN:0018-9464
eISSN:1941-0069
-
STT-MRAM for Nonvolatile Working Memories 招待有り 査読有り
T. Endoh
The 15th Non-Volatile Memory Technology Symposium (NVMTS2015) Session 5 2015年10月13日
-
10 nm p-MTJ Array Design for Suppressing Switching Delay Induced by Interference Due to Magnetic Dipole Interaction for High Density STT-MRAM 査読有り
S. Ohuchida, K. Ito, M. Muraguchi, T. Endoh
2015 International Conference on Solid State Devices and Materials(SSDM) O-5-2 1180-1181 2015年9月30日
-
Universal Damage Recovery Scheme using the Oxygen Showering Post-treatment (OSP) Process for Sub-20nm High Density STT-MRAM Devices 査読有り
J. H. Jeong, T. Endoh
2015 International Conference on Solid State Devices and Materials(SSDM) O-5-3 1182-1183 2015年9月30日
-
Novel Design of Electrostatic Lens Potential for Improving Bending Curvature and Transmission Probability of Drive Current for Vertical Body Channel MOSFET 査読有り
M.Muraguchi, T. Endoh
2015 International Conference on Solid State Devices and Materials(SSDM) PS-9-9 342-343 2015年9月30日
-
Optimization of CoFeB Capping Layer Thickness for Characterization of Leakage Spot in MgO Tunneling Barrier of Magnetic Tunnel Junction 査読有り
S. Sato, H. Honjo, S. Ikeda, H. Ohno, T. Endoh, M. Niwa
2015 International Conference on Solid State Devices and Materials(SSDM) O-5-4 1184-1185 2015年9月30日
-
First-Principles Study on Hydrogen Annealing Effect in Si/SiO2 Interface by Thermal Oxidation 査読有り
S. Kawachi, H. Hiroki, M. Araidai, H. Kageshima, T.Endoh, K.Shiraishi
2015 International Conference on Solid State Devices and Materials(SSDM) PS-1-17 40-41 2015年9月30日
-
A 600-μW Ultra-Low-Power Associative Processor for Image Pattern Recognition Employing Magnetic Tunnel Junction (MTJ) Based Nonvolatile Memories with Novel Intelligent Power-Gating (IPG) Scheme 査読有り
Y. Ma, S. Miura, H. Honjo, S. Ikeda, T. Hanyu, H. Ohno, T. Shibata, T. Endoh
2015 International Conference on Solid State Devices and Materials(SSDM) O-4-2 1172-1173 2015年9月29日
-
次世代集積エレクトロニクス産業の将来と、宮城県における事業化機会の展望 招待有り
遠藤哲郎
第一回集積エレクトロニクス技術・事業化検討会 2015年9月24日
-
垂直磁気異方性 CoFeB-MgO 磁気トンネル接合の高速中性子耐性評価(II) 査読有り
成田 克, 高橋 豊, 原田 正英, 大井 元貴, 及川 健一, 小林 大輔, 廣瀬 和之, 石川 慎也, E. C. I. Enobio, 佐藤 英夫, 池田 正二, 遠藤 哲郎, 大野 英男
第76回応用物理学会秋季学術講演会 2015年9月13日
-
科学は社会をどう変革するのか?~トップサイエンスからトップイノベーションへ~ 招待有り
遠藤哲郎
ACCELシンポジウム 2015年9月12日
-
Novel oxygen showering process (OSP) for extreme damage suppression of sub-20nm high density p-MTJ array without IBE treatment 査読有り
J. H. Jeong, T. Endoh
Digest of Technical Papers - Symposium on VLSI Technology 2015- T158-T159 2015年8月25日
出版者・発行元:Institute of Electrical and Electronics Engineers Inc.DOI: 10.1109/VLSIT.2015.7223660
ISSN:0743-1562
-
社会の耐災害性を高めるスピントロニクスによる不揮発性ワーキングメモリ技術とそのシステム応用 招待有り
遠藤哲郎
日本磁気学会 第203回研究会 203 49-52 2015年7月24日
出版者・発行元:日本磁気学会ISSN:1882-2940
-
Switch Toggling Technique of Parallel MOSFET Topology for Power Electronics Circuits with Uniform Thermal Distribution 査読有り
Kazuki Itoh, Masakazu Muraguchi, Tetsuo Endoh
Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2015) 4B-3 284-289 2015年6月29日
-
A Novel Neuron Circuit with Nonvolatile Synapses Based on Magnetic-Tunnel-Junction for High-Speed Pattern Learning and Recognition 査読有り
Yitao Ma, Tetsuo Endoh
Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2015) 4B-1 273-278 2015年6月29日
-
A High-Speed Pattern Matching Processor Employing Adaptive Nonlinear Similarity Evaluation for Visual-Attention-Based Object Recognition 査読有り
Yitao Ma, Tetsuo Endoh
Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2015) 6A-3 326-331 2015年6月29日
-
A Study of Strain Profile in Channel Region of Vertical MOSFET for Improving Drivability 査読有り
Taro Sasaki, Masakazu Muraguchi, Takahiro Shinada, Tetsuo Endoh
Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2015) 1A-2 25-29 2015年6月29日
-
シリコン熱酸化膜の水素アニール効果に関する第一原理計算
川 内伸悟, 白 川裕規, 洗 平昌晃, 影 島愽之, 遠 藤哲郎, 白 石賢二
シリコン材料・デバ イス研究会(SDM) 2015年6月24日
-
STT-MRAM for low power systems 招待有り 査読有り
Tetsuo Endoh
International Symposium on VLSI Technology, Systems, and Applications, Proceedings 2015- 1-2 2015年6月3日
出版者・発行元:Institute of Electrical and Electronics Engineers Inc.DOI: 10.1109/VLSI-TSA.2015.7117581
ISSN:1930-8868
-
Evidence of a reduction reaction of oxidized iron/cobalt by boron atoms diffused toward naturally oxidized surface of CoFeB layer during annealing (vol 106, 142407, 2015) 査読有り
Soshi Sato, Hiroaki Honjo, Shoji Ikeda, Hideo Ohno, Tetsuo Endoh, Masaaki Niwa
APPLIED PHYSICS LETTERS 106 (24) 142407-1-142407-5 2015年6月
出版者・発行元:AMER INST PHYSICSDOI: 10.1063/1.4922749
ISSN:0003-6951
eISSN:1077-3118
-
Creation of innovative integrated electronic technologies through international industry-academic consortium (CIES consortium) : From material/device/process to LSI/system 招待有り 査読有り
T. Shinada, Y. Ohshima, T. Endoh
11th International Nanotechnology Conference on Communication and Cooperation (INC 11) I-27 2015年5月12日
-
Improvement of electric and magnetic properties of patterned magnetic tunnel junctions by recovery of damaged layer using oxygen showering post-treatment process 査読有り
J. H. Jeong, T. Endoh
JOURNAL OF APPLIED PHYSICS 117 (17) 17D906-1-17D906-4 2015年5月
出版者・発行元:AMER INST PHYSICSDOI: 10.1063/1.4908017
ISSN:0021-8979
eISSN:1089-7550
-
不揮発ロジックインメモリアーキテクチャとその低電力VLSIシステムへの応用 招待有り 査読有り
羽生貴弘, 鈴木大輔, 望月明, 夏井雅典, 鬼沢直哉, 杉林直彦, 池田正二, 遠藤哲郎, 大野英男
集積回路研究会 115 (6) 57-61 2015年4月17日
出版者・発行元:電子情報通信学会ISSN:0913-5685
-
Low Power and High Speed Working Memory with Spintronics and Vertical MOSFET Technology 招待有り 査読有り
Tetsuo Endoh
COOL Chips XVIII, SX: Keynote Presentation 4 2015年4月13日
-
Evidence of a reduction reaction of oxidized iron/cobalt by boron atoms diffused toward naturally oxidized surface of CoFeB layer during annealing 査読有り
Soshi Sato, Hiroaki Honjo, Shoji Ikeda, Hideo Ohno, Tetsuo Endoh, Masaaki Niwa
APPLIED PHYSICS LETTERS 106 (14) 2015年4月
出版者・発行元:AMER INST PHYSICSDOI: 10.1063/1.4917277
ISSN:0003-6951
eISSN:1077-3118
-
Low-frequency noise reduction in vertical MOSFETs having tunable threshold voltage fabricated with 60nm CMOS technology on 300mm wafer process 査読有り
Takuya Imamoto, Yitao Ma, Masakazu Muraguchi, Tetsuo Endoh
JAPANESE JOURNAL OF APPLIED PHYSICS 54 (4) 2015年4月
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
Study about the damaged mechanism of the patterned perpendicular magnetic tunnel junctions by hydrogen ion treatments 査読有り
Junho Jeong, Tetsuo Endoh
JAPANESE JOURNAL OF APPLIED PHYSICS 54 (4) 04DM07-1-04DM07-4 2015年4月
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
Power-gated 32 bit microprocessor with a power controller circuit activated by deep-sleep-mode instruction achieving ultra-low power operation 査読有り
Hiroki Koike, Takashi Ohsawa, Sadahiko Miura, Hiroaki Honjo, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno, Tetsuo Endoh
JAPANESE JOURNAL OF APPLIED PHYSICS 54 (4) 04DE08-1-04DE08-5 2015年4月
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
Low-frequency noise reduction in vertical MOSFETs having tunable threshold voltage fabricated with 60nm CMOS technology on 300mm wafer process 査読有り
Takuya Imamoto, Yitao Ma, Masakazu Muraguchi, Tetsuo Endoh
JAPANESE JOURNAL OF APPLIED PHYSICS 54 (4) 04DC11-1-04DC11-7 2015年4月
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
High-frequency level-up shifter based on 0.18 mu m vertical metal-oxide-semiconductor field-effect transistors with 70% reduction of overshoot voltage above power supply voltage 査読有り
Satoru Tanoi, Tetsuo Endoh
JAPANESE JOURNAL OF APPLIED PHYSICS 54 (4) 04DE03-1-04DE03-9 2015年4月
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
Properties of perpendicular-anisotropy magnetic tunnel junctions fabricated over the bottom electrode contact 査読有り
Sadahiko Miura, Hiroaki Honjo, Keizo Kinoshita, Keiichi Tokutome, Hiroaki Koike, Shoji Ikeda, Tetsuo Endoh, Hideo Ohno
JAPANESE JOURNAL OF APPLIED PHYSICS 54 (4) 04DM06-1-04DM06-4 2015年4月
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
Impact of sub-volume excitation on improving overdrive delay product of sub-40 nm perpendicular magnetic tunnel junctions in adiabatic regime and its beyond 査読有り
Satoshi Ohuchida, Kenchi Ito, Tetsuo Endoh
JAPANESE JOURNAL OF APPLIED PHYSICS 54 (4) 04DD05-1-04DD05-5 2015年4月
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
Landau–Lifshitz–Gilbert micromagnetic simulation on spin transfer torque efficiency of sub-30 nm perpendicular magnetic tunnel junctions with etching damage 査読有り
Kenchi Ito, Satoshi Ohuchida, Masakazu Muraguchi, Tetsuo Endoh
Japanese Journal of Applied Physics(JJAP) 54 (4) 04DM01-1-04DM01-5 2015年4月
出版者・発行元:NoneISSN:0021-4922
eISSN:1347-4065
-
集積エレクトロニクス領域における産学連携拠点の現状とチャレンジ 招待有り
遠藤哲郎
第62回応用物理学会春季学術講演会 2015年3月12日
-
Future Memory Technology with Vertical MOSFET and STT-MRAM for Ultra Low Power Systems 招待有り 査読有り
Tetsuo Endoh
KCS (Korean Conference on Semiconductors) 2015 2015年2月11日
DOI: 10.1109/VLSI-TSA.2015.7117581
-
新たな産学連携ACCEL開発 招待有り
遠藤哲郎
CREST「次世代エレクトロニクスデバイスの創出に資する革新的材料・プロセス研究」領域ワークショップ 2015年2月6日
-
Impact of 3D Structured LSI with VerticalMOSFET for Future Systems 招待有り
遠藤哲郎
システムナノ技術によるイノベーションへの展開に向けて第1回研究会 2015年2月5日
-
Nonvolatile Logic-in-Memory LSI Using Cycle-Based Power Gating and its Application to Motion-Vector Prediction 査読有り
Masanori Natsui, Daisuke Suzuki, Noboru Sakimura, Ryusuke Nebashi, Yukihide Tsuji, Ayuka Morioka, Tadahiko Sugibayashi, Sadahiko Miura, Hiroaki Honjo, Keizo Kinoshita, Shoji Ikeda, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
IEEE JOURNAL OF SOLID-STATE CIRCUITS 50 (2) 476-489 2015年2月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCDOI: 10.1109/JSSC.2014.2362853
ISSN:0018-9200
eISSN:1558-173X
-
Fabrication of a 3000-6-Input-LUTs Embedded and Block-Level Power-Gated Nonvolatile FPGA Chip Using p-MTJ-Based Logic-in-Memory Structure 査読有り
D. Suzuki, M. Natsui, A. Mochizuki, S. Miura, H. Honjo, H. Sato, S. Fukami, S. Ikeda, T. Endoh, H. Ohno, T. Hanyu
2015 SYMPOSIUM ON VLSI TECHNOLOGY (VLSI TECHNOLOGY) 2015年
出版者・発行元:IEEE -
スピントロニクス不揮発性ロジックのパワーゲーティング時における電源ノイズ評価とパッケージへのチップキャパシタ搭載効果の検討 査読有り
苅谷隆, 田野井聡, 森田治彦, 加藤忍, 遠藤哲郎
電子情報通信学会論文誌 C J98-C (1) 8-17 2015年1月1日
-
Diffusion Behaviors Observed on the Surface of CoFeB Film after the Natural Oxidation and the Annealing 査読有り
S. Sato, H. Honjo, S. Ikeda, H. Ohno, T. Endoh, M. Niwa
2015 IEEE MAGNETICS CONFERENCE (INTERMAG) GP-01 2015年
出版者・発行元:IEEEDOI: 10.1109/INTMAG.2015.7157496
-
1T1MTJ STT-MRAM Cell Array Design with an Adaptive Reference Voltage Generator for Improving Device Variation Tolerance 査読有り
Hiroki Koike, Sadahiko Miura, Hiroaki Honjo, Tosinari Watanabe, Hideo Sato, Soshi Sato, Takashi Nasuno, Yasuo Noguchi, Mitsuo Yasuhira, Takaho Tanigawa, Masakazu Muraguchi, Masaaki Niwa, Kenchi Ito, Shoji Ikeda, Hideo Ohno, Tetsuo Endoh
2015 IEEE 7TH INTERNATIONAL MEMORY WORKSHOP (IMW) 141-144 2015年
出版者・発行元:IEEEISSN:2330-7978
-
Nonvolatile Logic and Memory Devices based on Spintronics 招待有り 査読有り
Tetsuo Endoh
2015 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS) 13-16 2015年
出版者・発行元:IEEEDOI: 10.1109/ISCAS.2015.7168558
ISSN:0271-4302
-
Fabrication of a 3000-6-Input-LUTs Embedded and Block-Level Power-Gated Nonvolatile FPGA Chip Using p-MTJ-Based Logic-in-Memory Structure 査読有り
D. Suzuki, M. Natsui, A. Mochizuki, S. Miura, H. Honjo, H. Sato, S. Fukami, S. Ikeda, T. Endoh, H. Ohno, T. Hanyu
2015 SYMPOSIUM ON VLSI CIRCUITS (VLSI CIRCUITS) JFS3-2 C172-C173 2015年
出版者・発行元:IEEE -
10 nmφ perpendicular-anisotropy CoFeB-MgO magnetic tunnel junction with over 400℃ high thermal tolerance by boron diffusion control 査読有り
H. Honjo, H. Sato, S. Ikeda, S. Sato, T. Watanebe, S. Miura, T. Nasuno, Y. Noguchi, M. Yasuhira, T. Tanigawa, H. Koike, M. Muraguchi, M. Niwa, K. Ito, H. Ohno, T. Endoh
2015 Symposium on VLSI Technology (VLSIT) & 2015 Symposium on VLSI Cricuit (VLSIC) Digest of Technical Papers S12-2 T160-T161 2015年
出版者・発行元:NoneDOI: 10.1109/VLSIT.2015.7223661
-
Effect of Series Resistance on Dielectric Breakdown Phenomenon of Silicon Carbide MOS Capacitor 査読有り
S. Sato, Y. Hiroi, K. Yamabe, M. Kitabatake, T. Endoh, M. Niwa
PROCEEDINGS OF THE 22ND INTERNATIONAL SYMPOSIUM ON THE PHYSICAL AND FAILURE ANALYSIS OF INTEGRATED CIRCUITS (IPFA 2015) 72-75 2015年
出版者・発行元:IEEEDOI: 10.1109/IPFA.2015.7224336
ISSN:1946-1550
-
Challenge of MTJ-Based Nonvolatile Logic-in Memory Architecture for L ltra Low-Power and. Highly Dependable VLSI Computing 査読有り
Takahiro Hanyu, Masanori Natsui, Daisuke Suzuki, Akira Mochizuk, Naoya Onizawa, Shoji Ikeda, Tetsuo Endoh, Hideo Ohno
2015 IEEE SOI-3D-SUBTHRESHOLD MICROELECTRONICS TECHNOLOGY UNIFIED CONFERENCE (S3S) PS-1-17 40-41 2015年
出版者・発行元:IEEE -
Challenge of MTJ-Based Nonvolatile Logic-in Memory Architecture for L ltra Low-Power and. Highly Dependable VLSI Computing 査読有り
Takahiro Hanyu, Masanori Natsui, Daisuke Suzuki, Akira Mochizuk, Naoya Onizawa, Shoji Ikeda, Tetsuo Endoh, Hideo Ohno
2015 IEEE SOI-3D-SUBTHRESHOLD MICROELECTRONICS TECHNOLOGY UNIFIED CONFERENCE (S3S) 1-3 2015年
出版者・発行元:IEEE -
磁気ランダムアクセスメモリ(MRAM)の最新技術動向 招待有り 査読有り
小池洋紀, 池田正二, 羽生貴弘, 大野英男, 遠藤哲郎
CVD研究会 2014年12月18日
-
STT-MRAM, NV-logic with MTJ and high density memory with Vertical MOSFET 招待有り 査読有り
Tetsuo Endoh
SEMATECH Beyond CMOS Workshop Materials & Technologies for Beyond CMOS 2014年12月14日
-
A Nonvolatile Associative Memory-Based Context-Driven Search Engine Using 90 nm CMOS/MTJ-Hybrid Logic-in-Memory Architecture 査読有り
Hooman Jarollahi, Naoya Onizawa, Vincent Gripon, Noboru Sakimura, Tadahiko Sugibayashi, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu, Warren J. Gross
IEEE JOURNAL ON EMERGING AND SELECTED TOPICS IN CIRCUITS AND SYSTEMS 4 (4) 460-474 2014年12月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCDOI: 10.1109/JETCAS.2014.2361061
ISSN:2156-3357
-
The dynamic interaction effect due to oscillatory stray field from programing cell in 10nm design p-MTJ array 査読有り
S. Ohuchida, K. Ito, M. Muraguchi, T. Endoh
59th Annual Magnetism & Magnetic Materials Conference(MMM) FE-10 2014年11月3日
-
Dependence of Sub-Volume Excitation on Structural and Material Parameters in Precessional Regime of Spin Transfer Torque Magnetization Reversal 査読有り
Kenchi Ito, Satoshi Ohuchida, Tetsuo Endoh
IEEE TRANSACTIONS ON MAGNETICS 50 (11) 1402104-1-1402104-4 2014年11月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCDOI: 10.1109/TMAG.2014.2323964
ISSN:0018-9464
eISSN:1941-0069
-
STT-MRAMおよび不揮発性ロジックの現状と将来展望 招待有り
遠藤哲郎
第75回応用物理学会秋季学術講演会 2014年9月18日
-
A 500ps/8.5ns Array Read/Write Latency 1Mb Twin 1T1MTJ STT-MRAM designed in 90nm CMOS/40nm MTJ Process with Novel Positive Feedback S/A Circuit 査読有り
T. Ohsawa, S. Miura, H. Honjo, S. Ikeda, T. Hanyu, H. Ohno, T. Endoh
International Conference on Solid State Dvices and Materails (SSDM) A-8-3 2014年9月9日
-
Impact of Sub-Volume Excitation for Improving Overdrive Delay Product in Sub-40nm p-MTJ and Its Beyond 査読有り
S. Ohuchida, K. Ito, T. Endoh
International Conference on Solid State Dvices and Materails (SSDM) A-8-2 2014年9月9日
-
A Power-gated 32bit MPU with a Power Controller Circuit Activated by Deep-sleep-mode Instraction Achieving Ultra-low Power Operation 査読有り
H. Koike, T. Ohsawa, S. Miura, H. Honjo, K, Kinoshita, S. Ikeda, T. Hanyu, H. Ohno, T. Endoh
International Conference on Solid State Dvices and Materails (SSDM) A-7-1 2014年9月9日
-
Study about the Process Damage Mechanism of the Patterned Interface Perpendicular Magnetic Tunnel Junctions (MTJs) by Hydrogen Ion Treatments 査読有り
J.H. Jeong, T. Endoh
International Conference on Solid State Dvices and Materails (SSDM) A-6-4 2014年9月9日
-
Properties of Perpendicular-Anisotrapy Magnetic Tunnel Junctions Fabricated over the Cu Via 査読有り
S. Miura, H. Honjo, K. Kinoshita, K. Tokutome, H, Koike, S. Ikeda, T. Endoh, H. Ohno
International Conference on Solid State Dvices and Materails (SSDM) A-6-3 2014年9月9日
-
LLG Micromagnetic Simulation on STT Efficiency of sub 30nm Perpendicular MTJs with Etching Damage 査読有り
K. Ito, S. Ohuchida, T. Endoh
International Conference on Solid State Dvices and Materails (SSDM) PS-12-11 2014年9月9日
-
A High-frequency Level-up Shifter Based on 0.18um Vertical MOSFETs with More than 70% Reduction of Overshoot-voltage Above VDD 査読有り
S. Tanoi, T. Endoh
International Conference on Solid State Dvices and Materails (SSDM) PS-5-8 2014年9月9日
-
磁気ランダムアクセスメモリ(MRAM)の最新技術動向 招待有り
小池洋紀, 大澤隆, 池田正二, 羽生貴弘, 大野英男, 遠藤哲郎
電子情報通信学会2014年ソサイエティ大会 エレクトロニクス講演論文集2 CT-1-3 SS-6-9 2014年9月1日
-
Multiple breakdown model of carpet-bombing-like concaves formed during dielectric breakdown of silicon carbide metal–oxide–semiconductor capacitors 査読有り
Soshi Sato, Yuki Hiroi, Kikuo Yamabe, Makoto Kitabatake, Tetsuo Endoh, Masaaki Niwa
Japanese Journal of Applied Physics(JJAP) 53 (8) 4-9 2014年8月
出版者・発行元:NoneISSN:0021-4922
eISSN:1347-4065
-
Influence of Heavy Ion Irradiation on Perpendicular-Anisotropy CoFeB-MgO Magnetic Tunnel Junctions 査読有り
Daisuke Kobayashi, Yuya Kakehashi, Kazuyuki Hirose, Shinobu Onoda, Takahiro Makino, Takeshi Ohshima, Shoji Ikeda, Michihiko Yamanouchi, Hideo Sato, Eli Christopher Enobio, Tetsuo Endoh, Hideo Ohno
IEEE TRANSACTIONS ON NUCLEAR SCIENCE 61 (4) 1710-1716 2014年8月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCISSN:0018-9499
eISSN:1558-1578
-
STT-MRAM Technology and Its NV-Logic Applications for Ultimate Power Management 招待有り 査読有り
Tetsuo Endoh
CMOS Emerging Technologies Research 2014年7月8日
-
Spintronics-based Nonvolatile Computers 招待有り 査読有り
Tetsuo Endoh
2014 Spintronics Workshop on LSI 2014年6月13日
-
Influence of hydrogen patterning gas on electric and magnetic properties of perpendicular magnetic tunnel junctions 査読有り
J. H. Jeong, T. Endoh, Y. Kim, W. K. Kim, S. O. Park
JOURNAL OF APPLIED PHYSICS 115 (17) 17C727-1-17C727-3 2014年5月
出版者・発行元:AMER INST PHYSICSDOI: 10.1063/1.4866395
ISSN:0021-8979
eISSN:1089-7550
-
Trend of tunnel magnetoresistance and variation in threshold voltage for keeping data load robustness of metal–oxide–semiconductor/magnetic tunnel junction hybrid latches 査読有り
T. Ohsawa, S. Ikeda, T. Hanyu, H. Ohno, T. Endoh
Journal of Applied Physics (JAP) 115 (17) 17C728-1-17C728-3 2014年5月
出版者・発行元:NoneDOI: 10.1063/1.4867129
ISSN:0021-8979
eISSN:1089-7550
-
A High Output Resistance 1.2-V VDD Current Mirror with Deep Submicron Vertical MOSFETs 査読有り
Satoru Tanoi, Tetsuo Endoh
IEICE TRANSACTIONS ON ELECTRONICS E97C (5) 423-430 2014年5月
出版者・発行元:IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENGDOI: 10.1587/transele.E97.C.423
ISSN:1745-1353
-
A Novel Alternating Voltage Controlled Current Sensing Method for Suppressing Thermal Dependency 査読有り
Kazuki Itoh, Tetsuo Endoh
IEICE TRANSACTIONS ON ELECTRONICS E97C (5) 431-437 2014年5月
出版者・発行元:IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENGDOI: 10.1587/transele.E97.C.431
ISSN:1745-1353
-
Design and fabrication of a perpendicular magnetic tunnel junction based nonvolatile programmable switch achieving 40% less area using shared-control transistor structure 査読有り
D. Suzuki, M. Natsui, A. Mochizuki, S. Miura, H. Honjo, K. Kinoshita, S. Fukami, H. Sato, S. Ikeda, T. Endoh, H. Ohno, T. Hanyu
JOURNAL OF APPLIED PHYSICS 115 (17) 17B742-1-17B742-3 2014年5月
出版者・発行元:AMER INST PHYSICSDOI: 10.1063/1.4868332
ISSN:0021-8979
eISSN:1089-7550
-
Embedded STT-MRAM 招待有り 査読有り
Tetsuo Endoh
1st International Workshop on Data-Abundant System Technology 2014年4月22日
-
全文検索システム向け階層的パワーゲーティングを活用した低エネルギー不揮発TCAMエンジンチップ 査読有り
松永翔雲, 崎村昇, 根橋竜介, 杉林直彦(N, 夏井雅典, 望月明, 遠藤哲郎, 大野英男, 羽生貴弘
信学技報 114 (13) 39-44 2014年4月17日
ISSN:0913-5685
-
MTJベース不揮発フリップフロップを用いた3μsec-Entry/Exit 遅延時間のマイクロプロセッサ 招待有り 査読有り
小池洋紀, 崎村昇, 根橋竜介, 辻幸秀, 森岡あゆ香, 三浦貞彦, 本庄弘明, 杉林直彦, 大澤隆, 池田正二, 羽生貴弘, 大野英男, 遠藤哲郎
信学技報 114 (13) 85-90 2014年4月17日
出版者・発行元:一般社団法人電子情報通信学会ISSN:0913-5685
-
1.5ns/2.1nsのランダム読出/書込サイクル時間を達成した不揮発性混載メモリ用1Mb STT-MRAM -6T2MTJセルにバックグラウンド書き込み(BGW)方式を適用 招待有り 査読有り
大澤隆, 小池洋紀, 三浦貞彦, 木下啓藏, 本庄弘明, 池田正二, 羽生貴弘, 大野英男, 遠藤哲郎
信学技報 114 (13) 33-38 2014年4月17日
出版者・発行元:一般社団法人電子情報通信学会ISSN:0913-5685
-
Excellent scalability including self-heating phenomena of vertical-channel field-effect-diode type capacitor-less one transistor dynamic random access memory cell 査読有り
Takuya Imamoto, Tetsuo Endoh
JAPANESE JOURNAL OF APPLIED PHYSICS 53 (4) 540-541 2014年4月
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
Excellent scalability including self-heating phenomena of vertical-channel field-effect-diode type capacitor-less one transistor dynamic random access memory cell 査読有り
Takuya Imamoto, Tetsuo Endoh
JAPANESE JOURNAL OF APPLIED PHYSICS 53 (4) 04ED05-1-04ED05-8 2014年4月
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
Power reduction by power gating in differential pair type spin-transfer-torque magnetic random access memories for low-power nonvolatile cache memories 査読有り
Takashi Ohsawa, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno, Tetsuo Endoh
JAPANESE JOURNAL OF APPLIED PHYSICS 53 (4) 04ED04-1-04ED04-11 2014年4月
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
A two-transistor bootstrap type selective device for spin-transfer-torque magnetic tunnel junctions 査読有り
Takashi Ohsawa, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno, Tetsuo Endoh
JAPANESE JOURNAL OF APPLIED PHYSICS 53 (4) 04ED03-1-04ED03-6 2014年4月
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
Size dependence of electrostatic lens effect in vertical MOSFETs 査読有り
Masakazu Muraguchi, Tetsuo Endoh
JAPANESE JOURNAL OF APPLIED PHYSICS 53 (4) 04EJ09-1-04EJ09-4 2014年4月
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
Wide operational margin capability of 1 kbit spin-transfer-torque memory array chip with 1-PMOS and 1-bottom-pin-magnetic-tunnel-junction type cell 査読有り
Hiroki Koike, Takashi Ohsawa, Sadahiko Miura, Hiroaki Honjo, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno, Tetsuo Endoh
JAPANESE JOURNAL OF APPLIED PHYSICS 53 (4) 04ED13-1-04ED13-7 2014年4月
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
Effect with high density nano dot type storage layer structure on 20 nm planar NAND flash memory characteristics 査読有り
Takeshi Sasaki, Masakazu Muraguchi, Moon-Sik Seo, Sung-kye Park, Tetsuo Endoh
JAPANESE JOURNAL OF APPLIED PHYSICS 53 (4) 04ED17-1-04ED17-8 2014年4月
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
Size dependence of electrostatic lens effect in vertical MOSFETs 査読有り
Masakazu Muraguchi, Tetsuo Endoh
JAPANESE JOURNAL OF APPLIED PHYSICS 53 (4) 33-36 2014年4月
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
東北大学国際集積エレクトロニクス研究開発センターの始動と今後の半導体技術の展望 招待有り
遠藤哲郎
半導体関連産業ものづくり基盤集積セミナー 2014年3月20日
-
Spintronics-based Nonvolatile Computing Systems 招待有り 査読有り
Tetsuo Endoh
The 3nd CSIS International Symposium on Spintronics for Integrated Crictuit Applications and Beyond T4 2014年3月13日
-
STT-MRAM and NV-Logic for Low Power Systems 招待有り 査読有り
Tetsuo Endoh
SEMICON Korea 2014 2014年2月12日
-
集積エレクトロニクスの世界的拠点を目指した国際産学連携研究 招待有り
遠藤哲郎
東北大学イノベーションフェア 2014年1月17日
-
A delay circuit with 4-terminal magnetic-random-access-memory device for power-efficient time- domain signal processing 査読有り
Ryusuke Nebashi, Noboru Sakimura, Hiroaki Honjo, Ayuka Morioka, Yukihide Tsuji, Kunihiko Ishihara, Keiichi Tokutome, Sadahiko Miura, Shunsuke Fukami, Keizo Kinoshita, Takahiro Hanyu, Tetsuo Endoh, Naoki Kasai, Hideo Ohno, Tadahiko Sugibayashi
Proceedings - IEEE International Symposium on Circuits and Systems 1588-1591 2014年
出版者・発行元:Institute of Electrical and Electronics Engineers Inc.DOI: 10.1109/ISCAS.2014.6865453
ISSN:0271-4310
-
Design of an energy-efficient 2T-2MTJ nonvolatile TCAM based on a parallel-serial-combined search scheme 査読有り
Shoun Matsunaga, Akira Mochizuki, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
IEICE ELECTRONICS EXPRESS 11 (3) 20131006 2014年
出版者・発行元:IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENGISSN:1349-2543
-
A 90nm 20MHz Fully Nonvolatile Microcontroller for Standby-Power-Critical Applications 査読有り
Noboru Sakimura, Yukihide Tsuji, Ryusuke Nebashi, Hiroaki Honjo, Ayuka Morioka, Kunihiko Ishihara, Keizo Kinoshita, Shunsuke Fukami, Sadahiko Miura, Naoki Kasai, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu, Tadahiko Sugibayashi
2014 IEEE INTERNATIONAL SOLID-STATE CIRCUITS CONFERENCE DIGEST OF TECHNICAL PAPERS (ISSCC) 57 184-+ 2014年
出版者・発行元:IEEEDOI: 10.1109/ISSCC.2014.6757392
ISSN:0193-6530
-
Studies on Read-stability and Write-ability of Fast Access STT-MRAMs 査読有り
Takashi Ohsawa, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno, Tetsuo Endoh
PROCEEDINGS OF TECHNICAL PROGRAM - 2014 INTERNATIONAL SYMPOSIUM ON VLSI TECHNOLOGY, SYSTEMS AND APPLICATION (VLSI-TSA) 1-2 2014年
出版者・発行元:IEEEDOI: 10.1109/VLSI-TSA.2014.6839665
-
Complementary 5T-4MTJ nonvolatile TCAM cell circuit with phase-selective parallel writing scheme 査読有り
Shoun Matsunaga, Akira Mochizuki, Noboru Sakimura, Ryusuke Nebashi, Tadahiko Sugibayashi, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
IEICE ELECTRONICS EXPRESS 11 (10) 20140297 2014年
出版者・発行元:IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENGISSN:1349-2543
-
A Delay Circuit with 4-Terminal Magnetic-Random-Access-Memory Device for Power-Efficient Time-Domain Signal Processing 査読有り
Ryusuke Nebashi, Noboru Sakimura, Hiroaki Honjo, Ayuka Morioka, Yukihide Tsuji, Kunihiko Ishihara, Keiichi Tokutome, Sadahiko Miura, Shunsuke Fukami, Keizo Kinoshita, Takahiro Hanyu, Tetsuo Endoh, Naoki Kasai, Hideo Ohno, Tadahiko Sugibayashi
2014 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS) 1588-1591 2014年
出版者・発行元:IEEEDOI: 10.1109/ISCAS.2014.6865453
ISSN:0271-4302
-
Output Voltage Stability of SPMC Type AC-AC Converter for Power Management in IT System 査読有り
Hiroaki Ohtsuka, Masakazu Muraguchi, Yitao Ma, Tetsuo Endoh
2014 IEEE INTERNATIONAL MEETING FOR FUTURE OF ELECTRON DEVICES, KANSAI (IMFEDK) 1-2 2014年
出版者・発行元:IEEEDOI: 10.1109/IMFEDK.2014.6867096
-
A compact low-power nonvolatile flip-flop using domain-wall-motion-device-based single-ended structure 査読有り
Daisuke Suzuki, Noboru Sakimura, Masanori Natsui, Akira Mochizuki, Tadahiko Sugibayashi, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
IEICE ELECTRONICS EXPRESS 11 (13) 20140297 2014年
出版者・発行元:IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENGISSN:1349-2543
-
Perpendicular-anisotropy CoFeB-MgO based magnetic tunnel junctions scaling down to 1X nm 査読有り
S. Ikeda, H. Sato, H. Honjo, E. C. I. Enobio, S. Ishikawa, M. Yamanouchi, S. Fukami, S. Kanai, F. Matsukura, T. Endoh, H. Ohno
2014 IEEE INTERNATIONAL ELECTRON DEVICES MEETING (IEDM) 796-799 2014年
出版者・発行元:IEEEDOI: 10.1109/IEDM.2014.7047160
-
Challenge of MOS/MTJ-Hybrid Nonvolatile Logic-in-Memory Architecture in Dark-Silicon Era 査読有り
Takahiro Hanyu, Daisuke Suzuki, Akira Mochizuki, Masanori Natsui, Naoya Onizawa, Tadahiko Sugibayashi, Shoji Ikeda, Tetsuo Endoh, Hideo Ohno
2014 IEEE INTERNATIONAL ELECTRON DEVICES MEETING (IEDM) 654-656 2014年
出版者・発行元:IEEEDOI: 10.1109/IEDM.2014.7047124
-
Is there life beyond conventional CMOS? 招待有り 査読有り
Tetsuo Endoh
IEEE International Electron Devices Meeting (IEDM) Panel 2013年12月10日
-
STT-MRAM and its NV-Logic applications for Ultimate Power Management 招待有り 査読有り
Tetsuo Endoh
SEMATECH-imec workshop “Beyond CMOS” 2013年12月8日
-
Multi-Electron Wave Packets Dynamics under MOSFET-like Potentials 査読有り
Taro Shiokawa, Genki Fujita, Yukihiro Takada, Satoru Konabe, Masakazu Muraguchi, Takahiro Yamamoto, Tetsuo Endoh, Yasuhiro Hatsugai, Kenji Shiraishi
International Symposium on Advanced Nanodevices and Nanotechnology (ISANN 2013) ThuI-2 2013年12月8日
-
Effect of Electric Field in Multi-Electron Wave Packet Dynamics in Channel of Nanoscale devices 査読有り
G. Fujita, T. Shiokawa, Y. Takada, S. Konabe, M. Muraguchi, T. Yamamoto, T. Endoh, Y. Hatsugai, K. Shiraishi
International Symposium on Advanced Nanodevices and Nanotechnology (ISANN 2013) PII-4 2013年12月8日
-
Coulomb Interaction on Multi-electron Wave Packet Dynamics in Nanoscale channels 査読有り
T. Shiokawa, G. Fujita, Y. Takada, S. Konabe, M. Muraguchi, T. Yamamoto, T. Endoh, Y. Hatsugai, K. Shiraishi
44th IEEE Semiconductor Interface Specialists Conference (SISC) 11.12 2013年12月5日
-
Fabrication of a magnetic tunnel junction-based 240-tile nonvolatile field-programmable gate array chip skipping wasted write operations for greedy power-reduced logic applications 査読有り
Daisuke Suzuki, Masanori Natsui, Akira Mochizuki, Sadahiko Miura, Hiroaki Honjo, Keizo Kinoshita, Hideo Sato, Shoji Ikeda, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
IEICE Electronics Express 10 (23) 20130772-1-20130772-1 2013年11月21日
ISSN:1349-2543
-
STT-MRAM技術と究極のパワーマネジメントのための不揮発性ロジック応用 招待有り 査読有り
遠藤哲郎
京都賞記念ワークショップ セッションⅠ 2013年11月12日
-
STT-MRAM and NV-Logic for Low Power Systems 招待有り 査読有り
Tetsuo Endoh
26th International Microprocesses and Nanotechnology Conference (MNC 2013) 6A-1-2 (Plenary) 2013年11月5日
-
Fabrication of a Perpendicular-MTJ-Based Compact Nonvolatile Programmable Switch Using Shared-Write-Control-Transistor Structure 査読有り
D. Suzuki, M. Natsui, A. Mochizuki, S. Miura, H. Honjo, K. Kinoshita, H. Sato, S. Fukami, S. Ikeda, T. Endoh, H. Ohno, T. Hanyu
The 58th Annual Magnetism and Magnetic Materials Conference (MMM2013) CD-05 233-233 2013年11月4日
-
Influence of hydrogen patterning gas on eletric and magnetic properties of perpendicular MTJs 査読有り
J. Jeong, Y. Kim, W. Kim, S. Park, T. Endoh
The 58th Annual Magnetism and Magnetic Materials Conference (MMM2013) BS-07 185-185 2013年11月4日
-
Trend of TMR and Variation in Vth for Keeping Data Load Robustness of MOS/MTJ Hybrid Latches 査読有り
Takashi Ohsawa, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno, Tetsuo Endoh
The 58th Annual Magnetism and Magnetic Materials Conference (MMM2013) GT-10 693-693 2013年11月4日
-
MTJ Resistance Distribution of 1-kbit 1T-1MTJ STT-MRAM Cell Arrays Fabricated on a 300-mm Wafer 査読有り
Hiroki Koike, Takashi Ohsawa, Katsuya Miura, Hiroaki Honjo, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno, Tetsuo Endoh
The 58th Annual Magnetism and Magnetic Materials Conference (MMM2013) DC-01 324-324 2013年11月4日
-
STT-MRAM and Nonvolatile Logic 招待有り 査読有り
Tetsuo Endoh
3rd IMEC-Stanford International Workshop on Resistive Memories, 2013年10月17日
-
スピントロニクスを用いた集積回路と省エネ社会への貢献(<特別小特集>東北から明るい未来を創るICT技術) 査読有り
大野 英男, 遠藤 哲郎, 羽生 貴弘, 安藤 康夫, 笠井 直記, 池田 正二
電子情報通信学会誌 96 (10) 771-775 2013年10月1日
出版者・発行元:一般社団法人電子情報通信学会ISSN:0913-5693
-
Dynamical Coulomb Blockade in Multi-Electron Wave Packet Dynamics in Nanostructures 査読有り
G. Fujita, T. Shiokawa, Y. Takada, S. Konabe, M. Muraguchi, T. Yamamoto, T. Endoh, Y. Hatsugai, K. Shiraishi
2013 International Conference on Solid State Devices and Materials (SSDM) E-2-4 760-761 2013年9月24日
-
Multi-electron Wave Packet Transport Dynamics in Nanoscale Channel 査読有り
T. Shiokawa, G. Fujita, Y. Takada, S. Konabe, M. Muraguchi, T. Yamamoto, T. Endoh, Y. Hatsugai, K. Shiraishi
2013 International Conference on Solid State Devices and Materials (SSDM) D-3-3 718-719 2013年9月24日
-
Demonstration of a Nonvolatile Processor Core Chip with Software-Controlled Three-Terminal MRAM Cells for Standby-Power Critical Applications 査読有り
R. Nebashi, Y. Tsuji, H. Honjo, N. Sakimura, A. Morioka, K. Tokutome, S. Miura, S. Fukami, M. Yamanouchi, K. Kinoshita, T. Hanyu, T. Endoh, N. Kasai, H. Ohno, T. Sugibayashi
2013 International Conference on Solid State Devices and Materials (SSDM) M-8-3 1102-1103 2013年9月24日
-
Properties of perpendicular-anisotropy magnetic tunnel junctions prepared by different MTJ etching process 査読有り
S. Miura, H. Honjo, K. Kinoshita, K. Tokutome, N. Kasai, S. Ikeda, T. Endoh, H. Ohno
2013 International Conference on Solid State Devices and Materials (SSDM) PS-12-11 396-397 2013年9月24日
-
Strategy of STT-MRAM Cell Design and Its Power Gating Technique for Low-Voltage and Low-Power Cache Memories 査読有り
Takashi Ohsawa, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno, Tetuso Endoh
2013 International Conference on Solid State Devices and Materials (SSDM) M-7-1 1090-1091 2013年9月24日
-
Studies on Selective Devices for Spin-Transfer-Torque Magnetic Tunnel Junctions 査読有り
Takashi Ohsawa, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno, Tetuso Endoh
2013 International Conference on Solid State Devices and Materials (SSDM) M-8-4 1104-1105 2013年9月24日
-
A 4x4 Nonvolatile Multiplier Using Novel MTJ-CMOS Hybrid Latch and Flip-Flop 査読有り
Takashi Ohsawa, Sadahiro Miura, Hiroaki Honjo, Keizo Kinoshita, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno, Tetuso Endoh
2013 International Conference on Solid State Devices and Materials (SSDM) M-6-3 1086-1087 2013年9月24日
-
Wide Operational Margin Capability of 1kbit STT-MRAM Array Chip with 1-PMOS and 1-Bottom-Pin-MTJ Type Cell 査読有り
Hiroki Koike, Takashi Ohsawa, Sadahiro Miura, Hiroaki Honjo, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno, Tetuso Endoh
2013 International Conference on Solid State Devices and Materials (SSDM) M-7-3 1094-1095 2013年9月24日
-
Size Dependence of Electrostatic Lens Effect in Vertical Pillar Type MOSFET 査読有り
Masakazu Muraguchi, Tetsuo Endoh
2013 International Conference on Solid State Devices and Materials (SSDM) E-2-3 758-759 2013年9月24日
-
Layout Design Considering Electro-thermal Properties for CMOS Inverter Composed of Multi-pillar Vertical MOSFET 査読有り
Anyang Wang, Tetsuo Endoh
2013 International Conference on Solid State Devices and Materials (SSDM) PS-3-16 86-87 2013年9月24日
-
Effect with Nano Dot Type Storage Layer Structure on Channel Region in 20nm Planar NAND Flash Memory Cell 査読有り
Takeshi Sasaki, Masakazu Muraguchi, Moon-Sik Seo, Sung-Kye Park, Tetsuo Endoh
2013 International Conference on Solid State Devices and Materials (SSDM) PS-4-5 112-113 2013年9月24日
-
Impact of 3D structured Memory and Spintronics based NV-Memory for High Performance & Low Power Systems 招待有り 査読有り
Tetsuo Endoh
2013 International Conference on Solid State Devices and Materials (SSDM) Short Course 2013年9月24日
-
Study of Sb template for heteroepitaxial growth of GaSb thin film on Si(111) substrate 査読有り
H. Toyota, A. Okabe, T. Endoh, Y. Jinbo, N. Uchitomi
JOURNAL OF CRYSTAL GROWTH 378 129-133 2013年9月
出版者・発行元:ELSEVIER SCIENCE BVDOI: 10.1016/j.jcrysgro.2012.12.072
ISSN:0022-0248
-
Spintronics Based NV-Memory/Logic for Low Power Systems 招待有り 査読有り
Tetsuo Endoh
13th Non-Volatile Memory Technology Symposium (NVMTS) 2013年8月14日
-
3次元構造とスピントロニクスによる半導体メモリの新展開 招待有り
遠藤哲郎
第77回半導体集積回路シンポジウム, 2013年7月1日
-
Innovative Si-based integrated electronic systems 招待有り 査読有り
Tetsuo Endoh
2013 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD) 4A-2 155-161 2013年6月26日
-
Impact of Tapered Silicon Channel on the Asymmetric I-V Characteristics of nanoscale Double Gate MOSFETs 査読有り
Takuya Imamoto, Tetsuo Endoh
2013 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD) 211-215 2013年6月26日
-
Analyzing Self-Heating Effect in CMOS Inverter of Vertical MOSFET 査読有り
Anyang Wang, Tetsuo Endoh
2013 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD) 166-170 2013年6月26日
-
A Nonlinear Multidimensional-Vector-Adaptive Core Circuit For High-Speed Low-Power Flexible Pattern Matching 査読有り
Yijie Xiong, Yitao Ma, Tetsuo Endoh
2013 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD) 293-297 2013年6月26日
-
A Study of Time-Resolved Switching Characteristic in Perpendicular Magnetic Tunnel Junction 査読有り
Satoshi Ohuchida, Tetsuo Endoh
2013 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD) 193-198 2013年6月26日
-
A Novel Alternating Voltage Controlled Current Sensing Method for Suppressing Thermal Dependency 査読有り
Kazuki Itoh, Tetsuo Endoh
2013 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD) 288-292 2013年6月26日
-
A low voltage operated current mirror for analog designs with deep submicron vertical MOSFETs 査読有り
Satoru Tanoi, Tetsuo Endoh
2013 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD) 233-237 2013年6月26日
-
IEEE Journal of Solid-State Circuits 査読有り
T. Ohsawa, H. Koike, S. Miura, H. Honjo, K. Kinoshita, S. Ikeda, T. Hanyu, H. Ohno, T. Endoh
A 1 Mb nonvolatile embedded memory using 4T2MTJ cell with 32 b fine-grained power gating scheme 48 (6) 1511-1520 2013年6月22日
-
Fabrication of a 99%-energy-less nonvolatile multi-functional CAM chip using hierarchical power gating for a massively-parallel full-text-search engine 査読有り
Shoun Matsunaga, Noboru Sakimura, Ryusuke Nebashi, Yukihide Tsuji, Ayuka Morioka, Tadahiko Sugibayashi, Katsuya Miura, Hiroaki Honjo, K. Kinoshita, H. Sato, S. Fukami, M. Natsui, A. Mochizuki, Shoji Ikeda, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
2013 Symposium on VLSI Technology (VLSIT) & 2013 Symposium on VLSI Cricuit (VLSIC) Digest of Technical Papers C106-C107 2013年6月12日
-
Spintronics Based NV-Memory/Logic for High Performance & Low Power Systems 招待有り 査読有り
Tetsuo Endoh
2013 Symposium on VLSI Technology (VLSIT) & 2013 Symposium on VLSI Cricuit (VLSIC) Digest of Technical Papers Short Course 2013年6月12日
-
A 1.5nsec/2.1nsec random read/write cycle 1Mb STT-RAM using 6T2MTJ cell with background write for nonvolatile e-memories 査読有り
Takashi Ohsawa, Sadahiro Miura, Keizo Kinoshita, Hiroaki Honjo, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno, Tetuso Endoh
2013 Symposium on VLSI Technology (VLSIT) & 2013 Symposium on VLSI Cricuit (VLSIC) Digest of Technical Papers C110-C111 2013年6月12日
-
A Model Reflecting Preheat Effect by Two-step Writing Technique for High Speed and Stable STT-MRAM 査読有り
Yasuhiro Yoshida, Hiroki Koike, Masakazu Muraguchi, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno, Tetuso Endoh
16th International Workshop on Computational Electronics (IWCE) 248-249 2013年6月4日
-
Verification of Simulation Time Improvement for SPICE Simulator Using Built-in MTJ Model 査読有り
Hiroki Koike, Takashi Ohsawa, Tetsuo Endoh
16th International Workshop on Computational Electronics (IWCE) 246-247 2013年6月4日
-
Intrinsic Region Length Dependence of Vertical Double Gate IMOS 査読有り
Akihiro Itagaki, Masakazu Muraguchi, Tetsuo Endoh
16th International Workshop on Computational Electronics (IWCE) 190-191 2013年6月4日
-
Gate Leakage Reduction of Vertical MOSFET with High-k Dielectric Film Employing Gate Dielectric Capacitance Oriented Design 査読有り
Takeshi Sasaki, Tetsuo Endoh
16th International Workshop on Computational Electronics (IWCE) 188-189 2013年6月4日
-
Reduction of Self-Heating Effect in CMOS Inverter of Vertical MOSFET by Common-Gate Layout 査読有り
Anyang Wang, Tetsuo Endoh
16th International Workshop on Computational Electronics (IWCE) 140-141 2013年6月4日
-
Improvement of Self-Heating Effect Employing Vertical-Channel Field-Effect-Diode 1T-DRAM 査読有り
Takuya Imamoto, Tetsuo Endoh
16th International Workshop on Computational Electronics (IWCE) 102-103 2013年6月4日
-
A 1 Mb Nonvolatile Embedded Memory Using 4T2MTJ Cell With 32 b Fine-Grained Power Gating Scheme 査読有り
Takashi Ohsawa, Hiroki Koike, Sadahiko Miura, Hiroaki Honjo, Keizo Kinoshita, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno, Tetsuo Endoh
IEEE JOURNAL OF SOLID-STATE CIRCUITS 48 (6) 1511-1520 2013年6月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCDOI: 10.1109/JSSC.2013.2253412
ISSN:0018-9200
eISSN:1558-173X
-
A 1.5nsec/2.1nsec Random Read/Write Cycle 1Mb STT-RAM Using 6T2MTJ Cell with Background Write for Nonvolatile e-Memories 招待有り 査読有り
Tetsuo Endoh
VLSI Symposium 2013の国内報告会 C9-4 2013年6月1日
-
FOREWORD: Special Section on Fundamentals and Applications of Advanced Semiconductor Devices 招待有り 査読有り
Tetsuo Endoh
IEICE Transactions on Electronics E96-C (5) 619-619 2013年5月1日
-
MRAM/STTRAM/TA-MRAM which ones first? For which applications? Which challenges still on the way? 招待有り 査読有り
Tetsuo Endoh
International Memory Workshop 2013 2013年5月1日
-
A 3-mW/Gbps 1.8-V Operated Current-Reuse Low-Voltage Differential Signaling Driver Using Vertical Metal–Oxide–Semiconductor Field-Effect Transistors 査読有り
Satoru Tanoi, Tetsuo Endoh
Japanese Journal of Applied Physics (JJAP) 52 (4) 04CE03-1-04CE03-7 2013年4月
出版者・発行元:NoneISSN:0021-4922
eISSN:1347-4065
-
Influence of Coulomb Blockade on Wave Packet Dynamics in Nanoscale Structures 査読有り
Taro Shiokawa, Genki Fujita, Yukihiro Takada, Satoru Konabe, Masakazu Muraguchi, Takahiro Yamamoto, Tetsuo Endoh, Yasuhiro Hatsugai, Kenji Shiraishi
JAPANESE JOURNAL OF APPLIED PHYSICS 52 (4) 04CJ06-1-04CJ06-4 2013年4月
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
A Multi-pillar Vertical Metal–oxide–semiconductor Field-effect Transistor Type Dynamic Random Access Memory Core Circuit for Sub-1 V Core Voltage Operation without Overdrive Technique 査読有り
Hyoungjun Na, Tetsuo Endoh
Japanese Journal of Applied Physics (JJAP) 52 (4) 04CE08-1-04CE08-8 2013年4月
ISSN:0021-4922 1347-4065
-
Novel field effect diode type vertical capacitorless one transistor dynamic random access memory cell with negative hold bit line bias scheme for improving the hold Characteristics 査読有り
Takuya Imamoto, Tetsuo Endoh
Japanese Journal of Applied Physics 52 (4) 04CD08-1-04CD08-5 2013年4月
ISSN:0021-4922 1347-4065
-
Current Status of NAND Memories and Its Future Prospect with 3D NAND Technology 招待有り 査読有り
Tetsuo Endoh
MRS Spring Meeting 2013年4月1日
-
4T-2MTJセル構造に基づく不揮発TCAMチップの実現 査読有り
松永翔雲, 三浦貞彦, 本庄弘明, 木下啓蔵, 池田正二, 遠藤哲郎, 大野英男, 羽生貴弘
信学技報, 113 (1) 33-38 2013年4月1日
-
スピン論理集積回路における基本ゲートの高信頼化技術 査読有り
辻幸秀, 根橋竜介, 崎村昇, 森岡あゆ香, 本庄弘明, 徳留圭一, 三浦貞彦, 鈴木哲広, 深見俊輔, 木下啓藏, 羽生貴弘, 遠藤哲郎, 笠井直記, 大野英男, 杉林
信学技報, 113 (1) 41-46 2013年4月1日
出版者・発行元:一般社団法人電子情報通信学会ISSN:0913-5685
-
スマート社会におけるメモリソリューションの今後の展望 ~ 新不揮発メモリはSRAM/DRAM/フラッシュを置き換える? 査読有り
新居浩二, 遠藤哲郎, 加藤佳一, 半澤悟, 梶谷一彦, 川澄篤, 三輪達
信学技報, 113 (1) 53-53 2013年4月1日
出版者・発行元:一般社団法人電子情報通信学会 -
1Mb 4T-2MTJ Nonvolatile STT-RAM for Embedded Memories Using 32b Fine-Grained Power Gating Technique with 1.0ns/200ps Wake-Up/Power-Off Times 招待有り 査読有り
Tetsuo Endoh, Takashi Ohsawa, Hiroki Koike, Sadahiko Miura, Hiroaki Honjo, Keiichi Tokutome, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno
IEICE Technical Reports 113 (1) 27-32 2013年4月1日
出版者・発行元:一般社団法人電子情報通信学会ISSN:0913-5685
-
Restructuring of Memory Hierarchy in Computing System with Spintronics-Based Technologies 招待有り 査読有り
Tetsuo Endoh
IEICE Technical Reports 113 (1) 21-26 2013年4月1日
-
多電子波束を用いた円電流ダイナミクスへの電子間相互作用の効果
塩川太郎, 藤田弦暉, 高田幸宏, 小鍋哲, 村口正和, 山本貴博, 遠藤哲郎, 初貝安弘, 白石賢二
日本物理学会2013年年次大会 26pXQ-4 2013年3月26日
-
スピン自由度を考慮した多電子波束ダイナミクスにおける電子間相互作用の効果
藤田弦暉, 塩川太郎, 高田幸宏, 小鍋哲, 村口正和, 山本貴博, 遠藤哲郎, 初貝安弘, 白石賢二
日本物理学会2013年年次大会 26pXQ-3 2013年3月26日
-
3次元構造デバイスとスピン/CMOS融合デバイスが切り拓く集積エレクトロニクスの将来 招待有り
遠藤哲郎
第8回つくばナノテク拠点シンポジウム 2013年3月6日
-
A Flexible Adaptive Matching Cell Circuit with Bell-Shaped Similarity Evaluation Function for High-Speed Low-Power Nonlinear Pattern Recognition Systems 査読有り
Yijie, Xiong, Yitao, Ma, Tetsuo. Endoh
2013 International Workshop on Nonlinear Circuits, Communications and Signal Processing NCSP'13 624-627 2013年3月4日
-
不揮発性STT-MRAMの開発と今後の展望 招待有り
遠藤哲郎, 大澤隆, 伊賀文崇, 池田正二, 羽生貴弘, 大野英男
応用物理学会・特別シンポジウム 2013年3月1日
-
縦型ボディチャネルMOSFETとその集積プロセスの開発 招待有り
遠藤哲郎
JST-CREST 「次世代エレクトロニクスデバイスの創出に資する革新材料・プロセス研究」領域 第二回公開シンポジウム 2013年2月8日
-
縦型CMOSデバイスで目指す究極の3次元集積回路 招待有り
遠藤哲郎
JST-CREST 「次世代エレクトロニクスデバイスの創出に資する革新材料・プロセス研究」領域 第二回公開シンポジウム 2013年2月8日
-
Two-step writing method for STT-MTJ to improve switching probability and write-speed 査読有り
Fumitaka Iga, Takashi Ohsawa, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno, Tetsuo Endoh
The 3nd CSIS International Symposium on Spintronics-based VLSIs 2013年1月31日
-
A fine-grained power gating architecture for MTJ-based embedded memories 査読有り
Takashi Ohsawa, Hiroki Koike, Sadahiko Miura, Hiroaki Honjo, Keiichi Tokutome, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno, Tetsuo Endoh
The 3nd CSIS International Symposium on Spintronics-based VLSIs 2013年1月31日
-
A New Sensing Scheme with High Signal Margin Suitable for Spin-Transfer Torque RAM 査読有り
Hiroki Koike, Takashi Ohsawa, Tetsuo Endoh
The 3nd CSIS International Symposium on Spintronics-based VLSIs 2013年1月31日
-
600MHz Nonvolatile Latch Based on a New MTJ/CMOS Hybrid Circuit Concept 査読有り
Tetsuo Endoh, Shuta Togashi, Fumitaka Iga, Yasuhiro Yoshida, Takashi Ohsawa, Hiroki Koike, Shunsuke Fukami, Shoji Ikeda, Naoki Kasai, Noboru Sakimura, Takahiro Hanyu, Hideo Ohno, Tetsuo Endoh
The 3nd CSIS International Symposium on Spintronics-based VLSIs 2013年1月31日
-
省エネシステムのためのSTT-MRAMと、そのロジック応用 招待有り
遠藤哲郎, 小池洋紀, 大澤隆, 羽生貴弘, 笠井直記, 大野英男
ゲートスタック研究会 2013年1月25日
-
集積エレクトロニクス技術が切り開く省エネ社会 招待有り
遠藤哲郎
東北大学イノベーションフェア2013 2013年1月17日
-
グリーンパワー集積システムが拓く賢い省エネ社会 招待有り
遠藤 哲郎
東北大学イノベーションフェア2013、 2013年1月17日
-
MRAMの最新動向 招待有り
遠藤哲郎, 池田正二, 羽生貴弘, 笠井直記, 大野英男
電子ジャーナル, 2013年1月11日
-
An MTJ-based nonvolatile associative memory architecture with intelligent power-saving scheme for high-speed low-power recognition applications 査読有り
Yitao Ma, Tadashi Shibata, Tetsuo Endoh
Proceedings - IEEE International Symposium on Circuits and Systems 1248-1251 2013年
DOI: 10.1109/ISCAS.2013.6572079
ISSN:0271-4310
-
Effect of Coulomb interaction on multi-electronwave packet dynamics 査読有り
T. Shiokawa, Y. Takada, S. Konabe, M. Muraguchi, T. Endoh, Y. Hatsugai, K. Shiraishi
AIP Conference Proceedings 1566 421-422 2013年
出版者・発行元:American Institute of Physics Inc.DOI: 10.1063/1.4848465
ISSN:1551-7616 0094-243X
-
Nonvolatile Logic-in-Memory Array Processor in 90nm MTJ/MOS Achieving 75% Leakage Reduction Using Cycle-Based Power Gating 査読有り
Masanori Natsui, Daisuke Suzuki, Noboru Sakimura, Ryusuke Nebashi, Yukihide Tsuji, Ayuka Morioka, Tadahiko Sugibayashi, Sadahiko Miura, Hiroaki Honjo, Keizo Kinoshita, Shoji Ikeda, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
2013 IEEE INTERNATIONAL SOLID-STATE CIRCUITS CONFERENCE DIGEST OF TECHNICAL PAPERS (ISSCC) 56 194-+ 2013年
出版者・発行元:IEEEDOI: 10.1109/ISSCC.2013.6487696
ISSN:0193-6530
-
A high performance current latch sense amplifier with vertical MOSFET 査読有り
Hyoungjun Na, Tetsuo Endoh
IEICE Transactions on Electronics E96-C (5) 655-662 2013年
出版者・発行元:Institute of Electronics, Information and Communication, Engineers, IEICEDOI: 10.1587/transele.E96.C.655
ISSN:1745-1353 0916-8524
-
An MTJ-Based Nonvolatile Associative Memory Architecture With Intelligent Power-Saving Scheme for High-Speed Low-Power Recognition Application 査読有り
Yitao Ma, Tadashi Shibata, Tetsuo Endoh
2013 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS) 1248-1251 2013年
出版者・発行元:IEEEDOI: 10.1109/ISCAS.2013.6572079
ISSN:0271-4302
-
A 1-Mb STT-MRAM with Zero-Array Standby Power and 1.5-ns Quick Wake-Up by 8-b Fine-Grained Power Gating 査読有り
Takashi Ohsawa, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno, Tetsuo Endoh
2013 5TH IEEE INTERNATIONAL MEMORY WORKSHOP (IMW) 80-83 2013年
出版者・発行元:IEEE -
Gate Length Scaling of High-k Vertical MOSFET toward 20nm CMOS Technology and beyond 査読有り
Takeshi Sasaki, Tetsuo Endoh
2013 IEEE SOI-3D-SUBTHRESHOLD MICROELECTRONICS TECHNOLOGY UNIFIED CONFERENCE (S3S) 121-122 2013年
出版者・発行元:IEEE -
Suppression of self-heating effect employing bulk vertical-channel bipolar junction transistor (BJT) type capacitorless 1T-DRAM cell 査読有り
Takuya Imamoto, Tetsuo Endoh
2013 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference, S3S 2013 140-141 2013年
出版者・発行元:IEEE Computer Society -
STT-MRAM and NV-Logic for low power systems 招待有り 査読有り
Tetsuo Endoh
2013 3rd Berkeley Symposium on Energy Efficient Electronic Systems, E3S 2013 - Proceedings 1-2 2013年
-
A Power-Gated MPU with 3-microsecond Entry/Exit Delay using MTJ-Based Nonvolatile Flip-Flop 査読有り
H. Koike, T. Ohsawa, S. Ikeda, T. Hanyu, H. Ohno, T. Endoh, N. Sakimura, R. Nebashi, Y. Tsuji, A. Morioka, S. Miura, H. Honjo, T. Sugibayashi
PROCEEDINGS OF THE 2013 IEEE ASIAN SOLID-STATE CIRCUITS CONFERENCE (A-SSCC) 317-320 2013年
出版者・発行元:IEEEDOI: 10.1109/ASSCC.2013.6691046
-
Influence of Heavy Ion Irradiation on Perpendicular-Anisotropy CoFeB-MgO Magnetic Tunnel Junctions 査読有り
Daisuke Kobayashi, Yuya Kakehashi, Kazuyuki Hirose, Shinobu Onoda, Takahiro Makino, Takeshi Ohshima, Shoji Ikeda, Michihiko Yamanocuhi, Hideo Sato, Eli Christopher Enobio, Tetsuo Endoh, Hideo Ohno
JOURNAL OF LATEX CLASS FILES 11 (4) 1-3 2012年12月1日
-
Current Status of NAND Memories and its Future Prospect with 3D NAND Technology 招待有り 査読有り
Tetsuo Endoh
ECS Prime 2012 2012年10月10日
-
MTJ Based Non-volatile RAM and Logic for Future System with Standby Power Zero 招待有り 査読有り
Tetsuo Endoh
9th Sematech International Symposium on Advanced Gate Stack Technology 2012年10月4日
-
A DRAM Sense Amplifier Circuit by Multi-pillar Vertical MOSFET Realizing Sub-1V Core Voltage Operation without Overdrive Technique 査読有り
H. Na, T. Endoh
2012 International Conference on Solid State Devices and Materials (2012 SSDM) J-5-4 1148-1149 2012年9月25日
-
Novel Field Effect Diode type Vertical Capacitorless 1T-DRAM Cell with Negative Hold Bit Line Bias Scheme for Improving the Hold Characteristics 査読有り
Takuya Imamoto, Tetsuo Endoh
2012 International Conference on Solid State Devices and Materials B1-2 588-589 2012年9月25日
-
A 3-mW/Gbps 1.8-V Current-reuse LVDS Driver with 30% Power Reduction using Vertical MOSFETs 査読有り
Satoru Tanoi, Tetsuo Endoh
Extended Abstracts of the 2012 International Conference on Solid State Devices and Materials 152-153 2012年9月1日
-
ナノ構造中の多電子波束ダイナミクスにおける電子間相互作用の効果 査読有り
藤田弦暉, 塩川太郎, 高田幸宏, 小鍋哲, 村口正和, 山本貴博, 遠藤哲郎, 初貝安弘, 白石賢二
日本物理学会2013年年次大会 21aFB-9 2012年9月1日
-
一次元非一様ポテンシャル中の波束ダイナミクス 査読有り
塩川太郎, 藤田弦暉, 高田幸宏, 小鍋哲, 村口正和, 山本貴博, 遠藤哲郎, 初貝安弘, 白石賢二
日本物理学会2013年年次大会 21aFB-10 2012年9月1日
-
The Effect of Coulomb Interaction in Multi-Electron Wave Packet Dynamics 査読有り
Taro Shiokawa, Yukihiro Takada, Young Taek Yoon, Satoru Konabe, Masakazu Muraguchi, Mitsuhiro Arikawa, Tetsuo Endoh, Yasuhiro Hatsugai, Kenji Shiraishi
31st International Conference on the Physics of Semiconductors (ICPS2012) 67.32 295-295 2012年8月2日
-
Novel Concept of the Three-Dimensional Vertical FG NAND Flash Memory Using the Separated-Sidewall Control Gate 査読有り
Moon-Sik Seo, Bong-Hoon Lee, Sung-Kye Park, Tetsuo Endoh
IEEE TRANSACTIONS ON ELECTRON DEVICES 59 (8) 2078-2084 2012年8月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCISSN:0018-9383
-
Effectiveness of Time-Dependent Hartree-Fock Approaches for Multi-Electron Wave Packet Dynamics in Nanoscale Structures 査読有り
Yukihiro Takada, Young Taek Yoon, Taro Shiokawa, Satoru Konabe, Mitsuhiro Arikawa, Masakazu Muraguchi, Tetsuo Endoh, Yasuhiro Hatsugai, Kenji Shiraishi
31st International Conference on the Physics of Semiconductors (ICPS2012) 67.32 295-295 2012年7月31日
-
Effectiveness of Time-Dependent Hartree-Fock Approaches for Multi-Electron Wave Packet Dynamics in Nanoscale Structures 査読有り
Yukihiro Takada, Young Taek Yoon, Taro Shiokawa, Satoru Konabe, Mitsuhiro Arikawa, Masakazu Muraguchi, Tetsuo Endoh, Yasuhiro Hatsugai, Kenji Shiraishi
ICPS2012 37 (14) 2012年7月29日
-
Reduction of threshold voltage fluctuation in field-effect transistors by controlling individual dopant position 査読有り
Masahiro Hori, Keigo Taira, Akira Komatsubara, Kuninori Kumagai, Yukinori Ono, Takashi Tanii, Tetsuo Endoh, Takahiro Shinada
APPLIED PHYSICS LETTERS 101 (1) 2012年7月
出版者・発行元:AMER INST PHYSICSDOI: 10.1063/1.4733289
ISSN:0003-6951
-
The Asymmetric I-V Characteristics of Vertical MOSFET Induced by Tapered Silicon Pillar 査読有り
Takuya Imamoto, Tetsuo Endoh
2012 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2012) 2A.2 38-42 2012年6月27日
-
A High Performance SRAM Sense Amplifier with Vertical MOSFET 査読有り
Hyoungjun Na, Tetsuo Endoh
2012 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2012) 2A.3 43-47 2012年6月27日
-
A High Performance SRAM Sense Amplifier with Vertical MOSFET 査読有り
H. Na, T. Endoh
2012 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (2012 AWAD) 2A-3 43-47 2012年6月27日
-
MTJ Based Non-volatile RAM and Low Power Non-volatile Logic Suitable to Pipeline Architecture 招待有り 査読有り
T. Endoh
The 8th Annual SEMATECH Symposium Japan 2012 Session 2 5-5 2012年6月26日
-
MTJ based Non Volatile Logic for Ultimate Power Management 招待有り 査読有り
Tetsuo Endoh, Takashi Ohsawa, Takahiro Hanyu, Hideo Ohno
the 19th International Conference on Magnetism with Strongly Correlated Electron Systems (ICM2012 with SCES) Session BI02 5-7 2012年6月26日
-
Restructuring of Memory Hierarchy in System and No-Standby-Power Nonvolatile Logic with STT-MRAM Technology 招待有り 査読有り
T. Endoh
IMEC Seminar 2012 Session Ⅱ 4-4 2012年6月21日
-
Restructuring of Memory Hierarchy in Computing System with STT-MRAM Technologies 招待有り 査読有り
Tetsuo Endoh
2012 Spintronics Workshop on LSI 14-14 2012年6月11日
-
Restructuring of Memory Hierarchy in System and No-Standby-Power Nonvolatile Logic with STT-MRAM Technology 招待有り 査読有り
T. Endoh
14th Leti (Laboratoire d'électronique des technologies de l'information)Annual Review A 1-1 2012年6月11日
-
Long-Range Asynchronous On-Chip Link Based on Multiple-Valued Single-Track Signaling 査読有り
Naoya Onizawa, Atsushi Matsumoto, Takahiro Hanyu
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES E95A (6) 1018-1029 2012年6月
出版者・発行元:IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENGDOI: 10.1587/transfun.E95.A.1018
ISSN:1745-1337
-
MTJ based non volatile SRAM and low power non volatile logic-in-memory architecture 招待有り 査読有り
Tetsuo Endoh
The 2012 International Meeting for future Electron Devices, Kansai (IMFEDK 2012) 2 2012年5月9日
-
MTJ based non volatile SRAM and low power non volatile logic-in-memory architecture 招待有り 査読有り
Tetsuo Endoh, Takashi Ohsawa, Shoji Ikeda, Takahiro Hanyu, Naoki Kasai, Hideo Ohno
IEEE International Magnetics Conference (INTERMAG2012) HB-06-HB-06 2012年5月9日
-
Applied Electric Field Dependence of Multi-Electron Wave Packet Dynamics 査読有り
Taro Shiokawa, Yukihiro Takada, Young Taek Yoon, Satoru Konabe, Masakazu Muraguchi, Mitsuhiro Arikawa, Tetsuo Endoh, Yasuhiro Hatsugai, Kenji Shiraishi
The 8th International Nanotechnology Conference on Communication and Cooperation (ICN8) Tu114 Tu114-Tu114 2012年5月8日
-
A Schmitt Trigger Based SRAM with Vertical MOSFET 査読有り
Hyoungjun Na, Tetsuo Endoh
IEICE TRANSACTIONS ON ELECTRONICS E95C (5) 792-801 2012年5月
出版者・発行元:IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENGDOI: 10.1587/transele.E95.C.792
ISSN:0916-8524
eISSN:1745-1353
-
Source/Drain Engineering for High Performance Vertical MOSFET 査読有り
Takuya Imamoto, Tetsuo Endoh
IEICE TRANSACTIONS ON ELECTRONICS E95C (5) 807-813 2012年5月
出版者・発行元:IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENGDOI: 10.1587/transele.E95.C.807
ISSN:0916-8524
eISSN:1745-1353
-
Evaluation of Performance in Vertical 1T-DRAM and Planar 1T-DRAM 査読有り
Yuto Norifusa, Tetsuo Endoh
IEICE TRANSACTIONS ON ELECTRONICS E95C (5) 847-853 2012年5月
出版者・発行元:IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENGDOI: 10.1587/transele.E95.C.847
ISSN:1745-1353
-
Low Power Nonvolatile Counter Unit with Fine-Grained Power Gating 査読有り
Shuta Togashi, Takashi Ohsawa, Tetsuo Endoh
IEICE TRANSACTIONS ON ELECTRONICS E95C (5) 854-859 2012年5月
出版者・発行元:IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENGDOI: 10.1587/transele.E95.C.854
ISSN:0916-8524
eISSN:1745-1353
-
FG Width Scalability of the 3-D Vertical FG NAND Using the Sidewall Control Gate (SCG) 査読有り
Moon-Sik Seo, Tetsuo Endoh
IEICE TRANSACTIONS ON ELECTRONICS E95C (5) 891-897 2012年5月
出版者・発行元:IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENGDOI: 10.1587/transele.E95.C.891
ISSN:0916-8524
eISSN:1745-1353
-
A fine-grained power gating architecture for MTJ-based embedded memories 査読有り
T. Ohsawa, H. Koike, S. Miura, H. Honjo, K. Tokutome, S. Ikeda, T. Hanyu, H. Ohno, T. Endoh
The 3nd CSIS International Symposium on Spintronics-based VLSIs 2012年5月1日
-
600MHz Nonvolatile Latch Based on a New MTJ/CMOS Hybrid Circuit Concept 査読有り
T. Endoh, S. Togashi, F. Iga, Y. Yoshida, T. Ohsawa, H. Koike, S. Fukami, S. Ikeda, N. Kasai, N. Sakimura, T. Hanyu, H. Ohno
The 3nd CSIS International Symposium on Spintronics-based VLSIs 2012年5月1日
-
A Wide-Range Tunable Level-Keeper Using Vertical Metal-Oxide-Semiconductor Field-Effect Transistors for Current-Reuse Systems 査読有り
Satoru Tanoi, Tetsuo Endoh
JAPANESE JOURNAL OF APPLIED PHYSICS 51 (4) 04DE11-1-04DE11-7 2012年4月
出版者・発行元:JAPAN SOC APPLIED PHYSICSISSN:0021-4922
-
Design of a compact nonvolatile four-input logic element using a magnetic tunnel junction and metal-oxide-semiconductor hybrid structure 査読有り
Daisuke Suzuki, Masanori Natsui, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
Japanese Journal of Applied Physics 51 (4) 02DM02-1-02DM02-5 2012年4月
ISSN:0021-4922 1347-4065
-
Six-input lookup table circuit with 62% fewer transistors using nonvolatile logic-in-memory architecture with series/parallel-connected magnetic tunnel junctions 査読有り
D. Suzuki, M. Natsui, T. Endoh, H. Ohno, T. Hanyu
JOURNAL OF APPLIED PHYSICS 111 (7) 07E318-1-07E318-3 2012年4月
出版者・発行元:AMER INST PHYSICSDOI: 10.1063/1.3672411
ISSN:0021-8979
eISSN:1089-7550
-
Design of a 270ps-access 7-transistor/2-magnetic-tunnel-junction cell circuit for a high-speed-search nonvolatile ternary content-addressable memory 査読有り
Shoun Matsunaga, Akira Katsumata, Masanori Natsui, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
JOURNAL OF APPLIED PHYSICS 111 (7) 07E336-1-07E336-3 2012年4月
出版者・発行元:AMER INST PHYSICSDOI: 10.1063/1.3677875
ISSN:0021-8979
eISSN:1089-7550
-
Wave Packet Dynamics in the Spin Torque Transfer 査読有り
Mitsuhiro Arikawa, Yasuhiro Hatsugai, Tetsuo Endoh, Kenji Shiraishi
JOURNAL OF THE PHYSICAL SOCIETY OF JAPAN 81 (4) 044706-1-044706-4 2012年4月
出版者・発行元:PHYSICAL SOC JAPANISSN:0031-9015
-
Current Controlled MOS Current Mode Logic with Auto-Detection of Threshold Voltage Fluctuation 査読有り
Hyoungjun Na, Tetsuo Endoh
IEICE TRANSACTIONS ON ELECTRONICS E95C (4) 617-626 2012年4月
出版者・発行元:IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENGDOI: 10.1587/transele.E95.C.617
ISSN:0916-8524
eISSN:1745-1353
-
Design of a Compact Nonvolatile Four-Input Logic Element Using a Magnetic Tunnel Junction and Metal-Oxide-Semiconductor Hybrid Structure 査読有り
Daisuke Suzuki, Masanori Natsui, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
JAPANESE JOURNAL OF APPLIED PHYSICS 51 (4) 04DM02-1-04DM02-5 2012年4月
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
Design of a Compact Nonvolatile Four-Input Logic Element Using a Magnetic Tunnel Junction and Metal–Oxide–Semiconductor Hybrid Structure 査読有り
Daisuke Suzuki, Masanori Natsui, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
Japanese Journal of Applied Physics 51 (4) 04DM02-04DM06 2012年4月
出版者・発行元:NoneISSN:0021-4922
eISSN:1347-4065
-
3端子磁壁移動型セルを用いた不揮発性コンテントアドレッサブルメモリ 査読有り
根橋竜介, 崎村昇, 辻幸秀, 深見俊輔, 本庄弘明, 齊藤信作, 三浦貞彦, 石綿延行, 木下啓蔵, 羽生貴弘, 遠藤哲郎, 笠井直記, 大野英男, 杉林直彦
信学技報 112 (15) 49-54 2012年4月1日
出版者・発行元:一般社団法人電子情報通信学会ISSN:0913-5685
-
Six-input lookup table circuit with 62% fewer transistors using nonvolatile logic-in-memory architecture with series/parallel-connected magnetic tunnel junctions 査読有り
D. Suzuki, M. Natsui, T. Endoh, H. Ohno, T. Hanyu
JOURNAL OF APPLIED PHYSICS 111 (7) 07E318-07E318 2012年4月
出版者・発行元:AMER INST PHYSICSDOI: 10.1063/1.3672411
ISSN:0021-8979
eISSN:1089-7550
-
Restructuring of Memory Hierarchy in Electrical System and No-Standby-Power Nonvolatile Logic with STT-RAM Technology 招待有り 査読有り
Tetsuo Endoh
The 2nd CSIS International Symposium on Spintronics-based VLSIs F1 18-18 2012年2月2日
-
Proposal of New MTJ-Based Nonvolatile Memories 招待有り 査読有り
T. Ohsawa, H. Koike, T. Hanyu, S. Ikeda, H. Ohno, T. Endoh
The 2nd CSIS International Symposium on Spintronics-based VLSIs F6 23-23 2012年2月2日
-
A Content Adddressable Memory Using Three-Terminal Magnetic Domain Wall Motion Cells 招待有り 査読有り
R. Nebashi, N. Sakimura, Y Tsuji, S. Fukami, H. Honjo, S. Saito, S.Miura, N.Ishiwata, K. kinoshita, T. Hanyu, T. Endoh, N. Kasai, H. Ohno, T. Sugibayashi
The 2nd CSIS International Symposium on Spintronics-based VLSIs F7 24-24 2012年2月2日
-
Nonvolatile Low Power 16-bit/32-bit Binary Counter with MTJ and its Scalability 査読有り
Shuta Togashi, Takashi Ohsawa, Tetsuo Endoh
The 2nd CSIS International Symposium on Spintronics-based VLSIs P20 46-46 2012年2月2日
-
A Study for Adopting PMOS Memory Cell for 1T1R STT-RAM with Asymmetric Switching Current MTJ 査読有り
H. Koike, T. Ohsawa, T. Endoh
The 2nd CSIS International Symposium on Spintronics-based VLSIs P21 47-47 2012年2月2日
-
High-Density and Low-Power Nonvolatile Static Random Access Memory Using Spin-Transfer-Torque Magnetic Tunnel Junction 査読有り
Takashi Ohsawa, Fumitaka Iga, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno, Tetsuo Endoh
JAPANESE JOURNAL OF APPLIED PHYSICS 51 (2) 02BD01-1-02BD01-6 2012年2月
出版者・発行元:JAPAN SOC APPLIED PHYSICSISSN:0021-4922
-
A Compact Half Select Disturb Free Static Random Access Memory Cell with Stacked Vertical Metal-Oxide-Semiconductor Field-Effect Transistor 査読有り
Hyoungjun Na, Tetsuo Endoh
JAPANESE JOURNAL OF APPLIED PHYSICS 51 (2) 02BD03-1-02BD03-8 2012年2月
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
Disturb-Free Three-Dimensional Vertical Floating Gate NAND with Separated-Sidewall Control Gate 査読有り
Moon-Sik Seo, Tetsuo Endoh
JAPANESE JOURNAL OF APPLIED PHYSICS 51 (2) 02BD04-1-02BD04-7 2012年2月
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
Nonvolatile Low Power 16-bit/32-bit Magnetic Tunnel Junction Based Binary Counter and Its Scaling 査読有り
Shuta Togashi, Takashi Ohsawa, Tetsuo Endoh
JAPANESE JOURNAL OF APPLIED PHYSICS 51 (2) 02BE07-1-02BE07-5 2012年2月
出版者・発行元:JAPAN SOC APPLIED PHYSICSISSN:0021-4922
eISSN:1347-4065
-
Multi-Electron Wave Packet Dynamics in Applied Electric Field 査読有り
Yukihiro Takada, Young Taek Yoon, Taro Shiokawa, Satoru Konabe, Mitsuhiro Arikawa, Masakazu Muraguchi, Tetsuo Endoh, Yasuhiro Hatsugai, Kenji Shiraishi
JAPANESE JOURNAL OF APPLIED PHYSICS 51 (2) 02BJ01-1-02BJ01-5 2012年2月
出版者・発行元:JAPAN SOC APPLIED PHYSICSISSN:0021-4922
-
Time-Resolved Switching Characteristic in Magnetic Tunnel Junction with Spin Transfer Torque Write Scheme 査読有り
Fumitaka Iga, Yasuhiro Yoshida, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno, Tetsuo Endoh
JAPANESE JOURNAL OF APPLIED PHYSICS 51 (2) 02BM02-1-02BM02-5 2012年2月
出版者・発行元:JAPAN SOC APPLIED PHYSICSISSN:0021-4922
-
Role of Synthetic Ferrimagnets in Magnetic Tunnel Junctions from Wave Packet Dynamics 査読有り
Mitsuhiro Arikawa, Masakazu Muraguchi, Yasuhiro Hatsugai, Kenji Shiraishi, Tetsuo Endoh
JAPANESE JOURNAL OF APPLIED PHYSICS 51 (2) 02BM03-1-02BM03-5 2012年2月
出版者・発行元:JAPAN SOC APPLIED PHYSICSISSN:0021-4922
-
Design of a Nine-Transistor/Two-Magnetic-Tunnel-Junction-Cell-Based Low-Energy Nonvolatile Ternary Content-Addressable Memory 査読有り
Shoun Matsunaga, Akira Katsumata, Masanori Natsui, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
JAPANESE JOURNAL OF APPLIED PHYSICS 51 (2) 02BM06-1-02BM06-5 2012年2月
出版者・発行元:JAPAN SOC APPLIED PHYSICSISSN:0021-4922
-
Two-step writing method for STT-MTJ to improve switching probability and write-speed 査読有り
F. Iga, T. Ohsawa, S. Ikeda, T. Hanyu, H. Ohno, T. Endoh
The 3nd CSIS International Symposium on Spintronics-based VLSIs 2012年1月31日
-
Current controlled MOS current mode logic with auto-detection of threshold voltage fluctuation 査読有り
Hyoungjun Na, Tetsuo Endoh
IEICE Transactions on Electronics E95-C (4) 617-626 2012年
出版者・発行元:Institute of Electronics, Information and Communication, Engineers, IEICEDOI: 10.1587/transele.E95.C.617
ISSN:1745-1353 0916-8524
-
Implementation of a Perpendicular MTJ-Based Read-Disturb-Tolerant 2T-2R Nonvolatile TCAM Based on a Reversed Current Reading Scheme 査読有り
S. Matsunaga, M. Natsui, S. Ikeda, K. Miura, T. Endoh, H. Ohno, T. Hanyu
2012 17TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC) Session D1-5 475-476 2012年
出版者・発行元:IEEEDOI: 10.1109/ASPDAC.2012.6164998
ISSN:2153-6961
-
A high efficient and compact charge pump with multi-pillar vertical MOSFET 査読有り
Hyoungjun Na, Tetsuo Endoh
International Symposium on VLSI Technology, Systems, and Applications, Proceedings 8 (6) 6-8 2012年
DOI: 10.1109/VLSI-TSA.2012.6210118
ISSN:1930-8868
-
High-Speed Simulator including Accurate MTJ Models for Spintronics Integrated Circuit Design 査読有り
Noboru Sakimura, Ryusuke Nebashi, Yukihide Tsuji, Hiroaki Honjo, Tadahiko Sugibayashi, Hiroki Koike, Takashi Ohsawa, Shunsuke Fukami, Takahiro Hanyu, Hideo Ohno, Tetsuo Endoh
2012 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS 2012) 1971-1974 2012年
出版者・発行元:IEEEDOI: 10.1109/ISCAS.2012.6271663
ISSN:0271-4302
-
Highly Scalable 3-D Vertical FG NAND Cell Arrays Using the Sidewall Control Pillar (SCP) 査読有り
Moon-Sik Seo, Jong-Moo Choi, Sung-kye Park, Tetsuo Endoh
2012 4TH IEEE INTERNATIONAL MEMORY WORKSHOP (IMW) 2 5-5 2012年
出版者・発行元:IEEEISSN:2330-7978
-
Spintronics primitive gate with high error correction efficiency 6(P error) 2 for logic-in memory architecture 査読有り
Y. Tsuji, R. Nebashi, N. Sakimura, A. Morioka, H. Honjo, K. Tokutome, S. Miura, T. Suzuki, S. Fukami, K. Kinoshita, T. Hanyu, T. Endoh, N. Kasai, H. Ohno, T. Sugibayashi
Digest of Technical Papers - Symposium on VLSI Technology T0704 63-64 2012年
DOI: 10.1109/VLSIT.2012.6242462
ISSN:0743-1562
-
Restructuring of memory hierarchy in computing system with spintronics-based technologies 招待有り 査読有り
Tetsuo Endoh, Takashi Ohsawa, Hiroki Koike, Takahiro Hanyu, Hideo Ohno
Digest of Technical Papers - Symposium on VLSI Technology T1003 89-90 2012年
DOI: 10.1109/VLSIT.2012.6242475
ISSN:0743-1562
-
A 3.14 um 2 4T-2MTJ-cell fully parallel TCAM based on nonvolatile logic-in-memory architecture 招待有り 査読有り
Shoun Matsunaga, Sadahiko Miura, Hiroaki Honjou, Keizo Kinoshita, Shoji Ikeda, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
IEEE Symposium on VLSI Circuits, Digest of Technical Papers C0602 44-45 2012年
DOI: 10.1109/VLSIC.2012.6243781
-
1Mb 4T-2MTJ nonvolatile STT-RAM for embedded memories using 32b fine-grained power gating technique with 1.0ns/200ps wake-up/power-off times 招待有り 査読有り
T. Ohsawa, H. Koike, S. Miura, H. Honjo, K. Tokutome, S. Ikeda, T. Hanyu, H. Ohno, T. Endoh
IEEE Symposium on VLSI Circuits, Digest of Technical Papers C0603 46-47 2012年
DOI: 10.1109/VLSIC.2012.6243782
-
Spintronics for Instant-On Nonvolatile Electronics 招待有り 査読有り
Kang L. Wang, P. Khalili Amiri
2012 CONFERENCE ON OPTOELECTRONIC AND MICROELECTRONIC MATERIALS AND DEVICES (COMMAD 2012) 117-118 2012年
出版者・発行元:IEEEISSN:1097-2137
-
A Vertical-MOSFET-Based Digital Core Circuit for High-Speed Low-Power Vector Matching 査読有り
Yitao Ma, Tadashi Shibata, Tetsuo Endoh
International SoC Design Conference (ISOCC 2011) S11-1 203-206 2011年11月17日
-
Design of a 270ps-Access 7T-2MTJ-Cell Nonvolatile Ternary Content-Addressable Memory 査読有り
Shoun Matsunaga, Akira Katsumata, Masanori Natsui, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
56th Annual Conference on Magnetism and Magnetic Materials(MMM2011) 479-479 2011年11月
-
50%-Transistor-Less Standby-Power-Free 6-input LUT Circuit Using Redundant MTJ-Based Nonvolatile Logic-in-Memory Architecture 査読有り
Daisuke Suzuki, Masanori Natsui, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
56th Annual Conference on Magnetism and Magnetic Materials(MMM2011) 480-480 2011年11月
-
Impact of Vertical Structured devices for Future Nano LSI 招待有り 査読有り
Tetsuo Endoh
AVS 58th International Symposium and Exhibition EM-MoM10 (71) 2011年11月1日
-
3D Vertical Structured Memory and Spintoronics Memory Technology 招待有り 査読有り
Tetsuo Endoh
1st Annual World Congress of Nano-S&T Track 2-2 (Nanowires, Molecular Electroni) 2011年10月24日
-
Vertical Structured Cells and Vertical Stacked Cells for Nano-Generation High Density Memory 招待有り 査読有り
Tetsuo Endoh
220th ECS Meeting Session: E9-ULSI Process Integ (7) 2011年10月10日
-
Impact of Vertical Structured Devices and Spintronic Devices for Future Nano LSI 招待有り 査読有り
Tetsuo Endoh
International Workshop on Quantum Nanostructures and Nanoelectronics (QNN2011) Devices and Circuits 2011年10月4日
-
Body Channel Type Vertical MOSFET to Suppress Gate Leakage Current 査読有り
Takeshi Sasaki, Tetsuo Endoh
2011 International Conference on Solid State Devices and Materials (SSDM2011) 104-105 2011年9月28日
-
Nonvolatile Low Power 16-bit/32-bit MTJ Based Binary Counter and its Scaling 査読有り
Shuta Togashi, Takashi Ohsawa, Tetsuo Endoh
2011 International Conference on Solid State Devices and Materials (SSDM2011) 166-167 2011年9月28日
-
A Wide-Range Tunable Level-Keeper using Vertical MOSFETs for Current-Reuse Systems 査読有り
Satoru Tanoi, Tetsuo Endoh
2011 International Conference on Solid State Devices and Materials (SSDM2011) 178-179 2011年9月28日
-
High-Speed-Search Nonvolatile TCAM Using MTJ Devices 査読有り
Shoun Matsunaga, Akira Katsumata, Masanori Natsui, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
2011 International Conference on Solid State Devices and Materials (SSDM2011) 454-455 2011年9月28日
-
Studies on Static Noise Margin and Scalability for Low-Power and High-Density Nonvolatile SRAM using Spin -Transfer -Torque (STT) MTJs 査読有り
Takashi Ohsawa, Fumitaka Iga, Shoji Ikeda, Takahiro, Hanyu, Hideo Ohno, Testuo Endoh
2011 International Conference on Solid State Devices and Materials (SSDM2011) 959-960 2011年9月28日
-
A Study for Adopting PMOS Memory Cell for 1T1R STT-RAM with Asymmetric Switching Current MTJ 査読有り
Hiroki Koike, Tetsuo Endoh
2011 International Conference on Solid State Devices and Materials (SSDM2011) 961-962 2011年9月28日
-
Novel 2step Writing Method for STT-RAM to Improve Switching Probability and Write Speed 査読有り
Fumitaka. Iga, Yasuhiko Suzuki, Takashi Ohsawa, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno, Tetsuo Endoh
2011 International Conference on Solid State Devices and Materials (SSDM2011) 963-964 2011年9月28日
-
A Compact Half Select Disturb Free SRAM Cell with Stacked Vertical MOSFET 査読有り
Hyoungjun Na, Tetsuo Endoh
2011 International Conference on Solid State Devices and Materials (SSDM2011) 973-974 2011年9月28日
-
Disturb-free 3D vertical FG NAND with Separated-Sidewall Control Gate 査読有り
Moon-Sik Seo, Tetsuo Endoh
2011 International Conference on Solid State Devices and Materials (SSDM2011) 979-980 2011年9月28日
-
Multi Electron Wave Packet Dynamics in Applied Electric Fields 査読有り
Y. Takada, Y. T. Yoon, T. Shiokawa, S. Konabe, M. Arikawa, M. Muraguchi, T. Endoh, Y. Hatsugai, K. Shiraishi
2011 International Conference on Solid State Devices and Materials (SSDM2011) 1199-1200 2011年9月28日
-
A Compact Nonvolatile Logic Element Using an MTJ/MOS-Hybrid Structure 査読有り
Daisuke Suzuki, Masanori Natsui, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
2011 International Conference on Solid State Devices and Materials (SSDM2011) 1464-1465 2011年9月28日
-
Time-Resolved Switching Characteristic in Magnetic Tunnel Junction with Spin Transfer Torque Write Scheme 査読有り
Fumitaka Iga, Yasuhiro Yoshida, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno, Tetsuo Endoh
2011 International Conference on Solid State Devices and Materials (SSDM2011) 1468-1469 2011年9月28日
-
Role of Synthetic Ferrimagnets in MTJs from Wave Packet Dynamics 査読有り
Mitsuhiro Arikawa, Masakazu Muraguchi, Yasuhiro Hatsugai, Kenji Shiraishi, Tetsuo Endoh
2011 International Conference on Solid State Devices and Materials (SSDM2011) 1472-1473 2011年9月28日
-
Scalable STT RAM Technology for Low Power Systems 招待有り 査読有り
T. Endoh, S. Ikeda, T Hanyu, N. Kasai, H. Ohno
Samsung Semiconductor Future Technology Forum 2011 2011年9月23日
-
Sub-20nm STT-MRAM as a replacement for DRAM:Its Challenges and Opportunities 招待有り 査読有り
T. Endoh, S. Ikeda, T Hanyu, N. Kasai, H. Ohno
Samsung Semiconductor Future Technology Forum 2011 2011年9月23日
-
Suzuki-Trotter法による電子波束ダイナミックスの多体効果
尹永択, 塩川太郎, 高田幸宏, 岩田潤一, 小鍋哲, 有川晃弘, 村口正和, 遠藤哲郎, 初貝安弘, 白石賢二
日本物理学会2011年秋季大会 21aTM-1 2011年9月21日
-
ハートリーフォック近似によるナノ構造中の電子波束ダイナミックス
塩川太郎, 高田幸宏, 尹永択, 岩田潤一, 小鍋哲, 有川晃弘, 村口正和, 遠藤哲郎, 初貝安弘, 白石賢二
日本物理学会2011年秋季大会 21aTM-2 2011年9月21日
-
半導体中での波束ダイナミクスの印加電圧依存性
高田幸宏, 尹永択, 塩川太郎, 岩田潤一, 小鍋哲, 有川晃弘, 村口正和, 遠藤哲郎, 初貝安弘, 白石賢二
日本物理学会2011年秋季大会 22aTM-3 2011年9月21日
-
3-D Vertical FG nand Flash Memory With a Novel Electrical S/D Technique Using the Extended Sidewall Control Gate 査読有り
Moon-Sik Seo, Sung-Kye Park, Tetsuo Endoh
IEEE TRANSACTIONS ON ELECTRON DEVICES 58 (9) 2966-2973 2011年9月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCISSN:0018-9383
-
砒素イオン注入によるドーパント位置制御効果
小松原彰, 堀匡寛, 熊谷国憲, 小野行徳, 谷井孝至, 遠藤哲郎, 大泊巌, 品田賢宏
平成23年秋季 第72回 応用物理学会学術講演会 1a-M-10 2011年8月29日
-
単一イオン注入法による位置と個数を制御したデバイスの低温伝導特性評価
堀匡寛, Enrico Prati, Filippo, Guagliardo, 小野行徳, 小松原彰, 熊谷国憲, 谷井孝至, 遠藤哲郎, 大泊巌, 品田賢宏
平成23年秋季 第72回 応用物理学会学術講演会 1p-P10–11 2011年8月29日
-
Size Effect of Self-Heating in Vertical MOSFET 査読有り
A. Wang, K. Tanaka, M. Arikawa, M. Muraguchi, T. Endoh
2011 TOHOKU-SECTION JOINT CONVENTION RECORD OF ELECTRICAL AND INFORMATION ENGINEERS 1A01 1-1 2011年8月25日
出版者・発行元:電気関係学会東北支部連合大会実行委員会 -
Low-power sub-GHz Vertical MOSFET based MCML 査読有り
A. Kobayashi, Y. Ma, T. Endoh
2011 TOHOKU-SECTION JOINT CONVENTION RECORD OF ELECTRICAL AND INFORMATION ENGINEERS 1A02 2-2 2011年8月25日
出版者・発行元:電気関係学会東北支部連合大会実行委員会 -
21aTM-2 ハートリーフォック近似によるナノ構造中の電子波束ダイナミックス(21aTM 量子細線・接合系(量子細線・微小接合・ジョセブソン接合),領域4(半導体,メゾスコピック系・局在))
塩川, 太郎, 高田, 幸宏, 尹, 永択, 岩田, 潤一, 小鍋, 哲, 有川, 晃弘, 村口, 正和, 遠藤, 哲郎, 初貝, 安弘, 白石, 賢二
日本物理学会講演概要集 66 (2) 666 2011年8月
出版者・発行元:社団法人日本物理学会ISSN:1342-8349
-
21aTM-1 Suzuki-Trotter法による電子波束ダイナミックスの多体効果(21aTM 量子細線・接合系(量子細線・微小接合・ジョセブソン接合),領域4(半導体,メゾスコピック系・局在))
尹, 永択, 塩川, 太郎, 高田, 幸宏, 岩田, 潤一, 小鍋, 哲, 有川, 晃弘, 村口, 正和, 遠藤, 哲郎, 初貝, 安弘, 白石, 賢二
日本物理学会講演概要集 66 (2) 666 2011年8月
出版者・発行元:社団法人日本物理学会ISSN:1342-8349
-
22aTM-3 半導体中での波束ダイナミクスの印加電圧依存性(22aTM 量子井戸・超格子・光応答,領域4(半導体,メゾスコピック系・局在))
高田, 幸宏, 尹, 永択, 塩川, 太郎, 岩田, 潤一, 小鍋, 哲, 有川, 晃弘, 村口, 正和, 遠藤, 哲郎, 初貝, 安弘, 白石, 賢二
日本物理学会講演概要集 66 (2) 678 2011年8月
出版者・発行元:社団法人日本物理学会ISSN:1342-8349
-
Impact of a few dopant positions controlled by deterministic single-ion doping on the transconductance of field-effect transistors 査読有り
Masahiro Hori, Takahiro Shinada, Yukinori Ono, Akira Komatsubara, Kuninori Kumagai, Takashi Tanii, Tetsuo Endoh, Iwao Ohdomari
APPLIED PHYSICS LETTERS 99 (6) 062103-1-062103-3 2011年8月
出版者・発行元:AMER INST PHYSICSDOI: 10.1063/1.3622141
ISSN:0003-6951
-
Device Desing of Multi Gate structure IMOS 査読有り
A. Itagaki, T. Endoh
2011 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2011) 1A.5 15-19 2011年6月29日
-
Device Desing of Body Channel Type Vertical MOSFET 査読有り
Takuya Imamoto, Tetsuo Endoh
2011 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2011) 1A.6 15-20 2011年6月29日
-
Theoretical Study on Current Path Control by Electrostatic Lens Effect in Vertical MOSFET 査読有り
Masakazu Muraguchi, Tetsuo Endoh
2011 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2011) 1A.8 30-35 2011年6月29日
-
Suppression of Gate Leakage Current with Slim Pillar Type Vertical MOSFET 査読有り
T. Sasaki, T. Endoh
2011 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2011) 1A.9 36-40 2011年6月29日
-
Study of Vertical MOSFET based MOS Current Mode Logic 査読有り
A. Kobayashi, H. Na, T. Endoh
2011 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2011) 1A.13 54-58 2011年6月29日
-
Control of Dopant Distribution by Single-Ion Implantation and its Impact on Transconductance of FETs 査読有り
T. Shinada, M. Hori, Y. Ono, A. Komatsubara, K. Kumagai, T. Tanii, T. Endoh, I Ohdomari
2011 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2011) 1B.4 71-74 2011年6月29日
-
FG Width Scalability of the 3-D vertical FG NAND with the Sidewall Control Gate (SCG) 査読有り
Moon-Sik Seo, Tetsuo. Endoh
2011 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2011) 1B.5 75-80 2011年6月29日
-
Time-Dependent Switching Characteristics of Magnetic Tunnel Junction (MTJ) 査読有り
Y. Yoshida, F. Iga, S. Ikeda, T. Hanyu, H. Ohno, T. Endoh
2011 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2011) 2B.7 167-170 2011年6月29日
-
Study of the Resistive Switching in CoFeB/MgO/CoFeB Magnetic Tunnel Junction Integrated on Back-End Metal Line of CMOS Circuit 査読有り
F. Iga, S. Ikeda, T. Hanyu, H. Ohno, T. Endoh
2011 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2011) 2B.8 171-174 2011年6月29日
-
Electron Dynamics in the Nano scale Transistor 査読有り
Y. Takada, Y.T. Yoon, T. Shiokawa, S. Konabe, M. Arikawa, M. Muraguchi, T. Endoh, Y. Hatsugai, K. Shiraishi
2011 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2011) 3A.4 199-203 2011年6月29日
-
Electron dynamics in the ferromagnetic tunnel junction 査読有り
M. Arikawa, Y. Hatsugai, K. Shiraishi, T. Endoh
2011 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2011) 3A.5 205-209 2011年6月29日
-
Evaluation of Performance in Vertical 1T-DRAM and Planar 1T-DRAM 査読有り
Yuto Norifusa, Tetsuo Endoh
2011 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2011) 3A.10 225-230 2011年6月29日
-
Low Power Nonvolatile Counter Circuit with Fine-Grained Power Gating 査読有り
Shuta Togashi, Takashi Ohsawa, Tetsuo Endoh
2011 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2011) 3B.10 267-270 2011年6月29日
-
A Schmitt Trigger Based SRAM with Vertical MOSFET 査読有り
H. Na, T. Endoh
2011 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2011) 3B.11 271-274 2011年6月29日
-
3D CMOS Devices –Why do we need them and challenges 招待有り 査読有り
Tetsuo Endoh
7th Annual SEMATECH Symposium Japan Session 2 2011年6月22日
-
Impact of Spintronics Devices with Vertical MOSFET Technology for Future Nano-VLSI 招待有り 査読有り
Tetsuo Endoh
CMOS Emerging Technologies Meeting 2011 Session 6E 2011年6月17日
-
Will Emerging Non-Volatile Memories Finally Emerge? 招待有り 査読有り
Tetsuo Endoh
2011 Symposia on VLSI Technology and Circuits R-2 2011年6月13日
-
Fully Parallel 6T-2MTJ Nonvolatile TCAM with Single-Transistor-Based Self Match-Line Discharge Control 査読有り
Shoun Matsunaga, Akira Katsumata, Masanori Natsui, Shunsuke Fukami, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
2011 Symposia on VLSI Technology and Circuits 298-299 2011年6月13日
-
A Content Addressable Memory Using Magnetic Domain Wall Motion Cells 査読有り
R. Nebashi, N. Sakimura, Y. Tsuji, S. Fukami, H. Honjo, S. Saito, S. Miura, N. Ishiwata, K. Kinoshita, T. Hanyu, T. Endoh, N. Kasai, H. Ohno, T. Sugibayashi
2011 Symposia on VLSI Technology and Circuits 300-301 2011年6月13日
-
Design and Fabrication of a One-Transistor/One-Resistor Nonvolatile Binary Content-Addressable Memory Using Perpendicular Magnetic Tunnel Junction Devices with a Fine-Grained Power-Gating Scheme 査読有り
Shoun Matsunaga, Masanori Natsui, Shoji Ikeda, Katsuya Miura, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
JAPANESE JOURNAL OF APPLIED PHYSICS 50 (6) 063004-1-063004-7 2011年6月
出版者・発行元:JAPAN SOC APPLIED PHYSICSISSN:0021-4922
-
Research and Development of Ultra-low Power Spintronics based VLSIs 招待有り 査読有り
Tetsuo Endoh
7th International Nanotechnology Conference on Communication and Cooperation (INC 7) 2011年5月16日
-
The Optimum Physical Targets of the 3-Dimensional Vertical FG NAND Flash Memory Cell Arrays with the Extended Sidewall Control Gate (ESCG) Structure 査読有り
Moon-Sik Seo, Tetsuo Endoh
IEICE TRANSACTIONS ON ELECTRONICS E94C (5) 686-692 2011年5月
出版者・発行元:IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENGDOI: 10.1587/transele.E94.C.686
ISSN:0916-8524
eISSN:1745-1353
-
Impact of Floating Body Type DRAM with the Vertical MOSFET 査読有り
Yuto Norifusa, Tetsuo Endoh
IEICE TRANSACTIONS ON ELECTRONICS E94C (5) 705-711 2011年5月
出版者・発行元:IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENGDOI: 10.1587/transele.E94.C.705
ISSN:0916-8524
eISSN:1745-1353
-
Evaluation of 1/f Noise Characteristics in High-k/Metal Gate and SiON/Poly-Si Gate MOSFET with 65 nm CMOS Process 査読有り
Takuya Imamoto, Takeshi Sasaki, Tetsuo Endoh
IEICE TRANSACTIONS ON ELECTRONICS E94C (5) 724-729 2011年5月
出版者・発行元:IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENGDOI: 10.1587/transele.E94.C.724
ISSN:0916-8524
eISSN:1745-1353
-
Study on Collective Electron Motion in Si-Nano Dot Floating Gate MOS Capacitor 査読有り
Masakazu Muraguchi, Yoko Sakurai, Yukihiro Takada, Shintaro Nomura, Kenji Shiraishi, Mitsuhisa Ikeda, Katsunori Makihara, Seiichi Miyazaki, Yasuteru Shigeta, Tetsuo Endoh
IEICE TRANSACTIONS ON ELECTRONICS E94C (5) 730-736 2011年5月
出版者・発行元:IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENGDOI: 10.1587/transele.E94.C.730
ISSN:0916-8524
eISSN:1745-1353
-
Study on Impurity Distribution Dependence of Electron-Dynamics in Vertical MOSFET 査読有り
Masakazu Muraguchi, Tetsuo Endoh
IEICE TRANSACTIONS ON ELECTRONICS E94C (5) 737-742 2011年5月
出版者・発行元:IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENGDOI: 10.1587/transele.E94.C.737
ISSN:0916-8524
eISSN:1745-1353
-
The Impact of Current Controlled-MOS Current Mode Logic/Magnetic Tunnel Junction Hybrid Circuit for Stable and High-Speed Operation 査読有り
Tetsuo Endoh, Masashi Kamiyanagi, Masakazu Muraguchi, Takuya Imamoto, Takeshi Sasaki
IEICE TRANSACTIONS ON ELECTRONICS E94C (5) 743-750 2011年5月
出版者・発行元:IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENGDOI: 10.1587/transele.E94.C.743
ISSN:0916-8524
eISSN:1745-1353
-
Temperature Dependency of Driving Current in High-k/Metal Gate MOSFET and Its Influence on CMOS Inverter Circuit 査読有り
Takeshi Sasaki, Takuya Imamoto, Tetsuo Endoh
IEICE TRANSACTIONS ON ELECTRONICS E94C (5) 751-759 2011年5月
出版者・発行元:IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENGDOI: 10.1587/transele.E94.C.751
ISSN:0916-8524
eISSN:1745-1353
-
Verification of Stable Circuit Operation of 180 nm Current Controlled MOS Current Mode Logic under Threshold Voltage Fluctuation 査読有り
Masashi Kamiyanagi, Takuya Imamoto, Takeshi Sasaki, Hyoungjun Na, Tetsuo Endoh
IEICE TRANSACTIONS ON ELECTRONICS E94C (5) 760-766 2011年5月
出版者・発行元:IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENGDOI: 10.1587/transele.E94.C.760
ISSN:1745-1353
-
Fabrication of Silicon Pillar with 25 nm Half Pitch Using New Multiple Double Patterning Technique 査読有り
Masato Kushibiki, Arisa Hara, Eiichi Nishimura, Tetsuo Endoh
JAPANESE JOURNAL OF APPLIED PHYSICS 50 (4) 04DA16-1-04DA16-5 2011年4月
出版者・発行元:JAPAN SOC APPLIED PHYSICSISSN:0021-4922
-
Collective Tunneling Model in Charge-Trap-Type Nonvolatile Memory Cell 査読有り
Masakazu Muraguchi, Yoko Sakurai, Yukihiro Takada, Yasuteru Shigeta, Mitsuhisa Ikeda, Katsunori Makihara, Seiichi Miyazaki, Shintaro Nomura, Kenji Shiraishi, Tetsuo Endoh
JAPANESE JOURNAL OF APPLIED PHYSICS 50 (4) 04DD04-1-04DD04-4 2011年4月
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
Enhancing Single-Ion Detection Efficiency by Applying Substrate Bias Voltage for Deterministic Single-Ion Doping 査読有り
Masahiro Hori, Takahiro Shinada, Keigo Taira, Akira Komatsubara, Yukinori Ono, Takashi Tanii, Tetsuo Endoh, Iwao Ohdomari
APPLIED PHYSICS EXPRESS 4 (4) 046501-1-046501-2 2011年4月
出版者・発行元:JAPAN SOC APPLIED PHYSICSISSN:1882-0778
-
MTJへの自動書き込み機能を有した不揮発性インバータ回路
冨樫秀太, 小池洋紀, 遠藤哲郎
平成23年春季 第58回 応用物理学関係連合講演会 25a-KQ-2 2011年3月24日
-
ドーパント位置制御による電界効果トランジスタの相互コンダクタンス評価
堀匡寛, 品田賢宏, 平圭吾, 小松原彰, 小野行徳, 谷井孝至, 遠藤哲郎, 大泊巌
平成23年春季 第58回 応用物理学関係連合講演会 25a-P3-14 2011年3月24日
-
縦型MOSFETによる6Tr SRAMのAccess Timeの向上
羅炯竣, 遠藤哲郎
平成23年春季 第58回 応用物理学関係連合講演会 26a-KC-7 2011年3月24日
-
Double Gate IMOSによるスイッチング特性
板垣明宏, 遠藤哲郎
平成23年春季 第58回 応用物理学関係連合講演会 26p-KD-10 2011年3月24日
-
Spintronics-based VLSIs for Ultra Low power Nonvolatile Computer Systems 招待有り 査読有り
Tetsuo Endoh
9th International Symposium on Nanotechnology of International Nanotechnology Exhibition and Conference 2011年2月18日
-
Nonvolatile Computer Systems and Memory Hierarchy Transformation with STT RAM Technology 招待有り 査読有り
Tetsuo Endoh, S. Ikeda, T. Hanyu, N. Kasai, H. Ohno
The 1st CSIS International Symposium on Spintronics-based VLSIs and The 7th RIEC International Workshop on Spintronisc 17 2011年2月3日
-
Three-terminal domain-wall cell architectures 査読有り
N. Ishiwata, S. Fukami, S. Saitho, R. Nebashi, N. Sakimura, H. Honjo, S. Miura, T. Sugibayashi, Y. Thuji, M. Murahata, H. Ohno, T. Endoh, T. Hanyu, N. Kasai
International Magnetics Conference 2011 abstract 2011年
-
Collective Electron Tunneling Model in Si-Nano Dot Floating Gate MOS Structure 査読有り
Masakazu Muraguchi, Yoko Sakurai, Yukihiro Takada, Yasuteru Shigeta, Mitsuhisa Ikeda, Katsunori Makihara, Seiichi Miyazaki, Shintaro Nomura, Kenji Shiraishi, Tetsuo Endoh
TECHNOLOGY EVOLUTION FOR SILICON NANO-ELECTRONICS 470 48-+ 2011年
出版者・発行元:TRANS TECH PUBLICATIONS LTDDOI: 10.4028/www.scientific.net/KEM.470.48
ISSN:1013-9826
-
Collective tunneling model between two-dimensional electron gas to Si-Nano Dot 査読有り
M. Muraguchi, Y. Sakurai, Y. Takada, S. Nomura, K. Shiraishi, K. Makihara, M. Ikeda, S. Miyazaki, Y. Shigeta, T. Endoh
AIP Conference Proceedings 1399 295-296 2011年
DOI: 10.1063/1.3666370
ISSN:0094-243X 1551-7616
-
Collective Electron Tunneling Model in Si-Nano Dot Floating Gate MOS Structure 査読有り
Masakazu Muraguchi, Yoko Sakurai, Yukihiro Takada, Yasuteru Shigeta, Mitsuhisa Ikeda, Katsunori Makihara, Seiichi Miyazaki, Shintaro Nomura, Kenji Shiraishi, Tetsuo Endoh
TECHNOLOGY EVOLUTION FOR SILICON NANO-ELECTRONICS 470 48-+ 2011年
出版者・発行元:TRANS TECH PUBLICATIONS LTDDOI: 10.4028/www.scientific.net/KEM.470.48
ISSN:1013-9826
-
Collective Tunneling Model between Two-Dimensional Electron Gas to Si-Nano Dot 査読有り
M. Muraguchi, Y. Sakurai, Y. Takada, S. Nomura, K. Shiraishi, K. Makihara, M. Ikeda, S. Miyazaki, Y. Shigeta, T. Endoh
PHYSICS OF SEMICONDUCTORS: 30TH INTERNATIONAL CONFERENCE ON THE PHYSICS OF SEMICONDUCTORS 1399 2011年
出版者・発行元:AMER INST PHYSICSDOI: 10.1063/1.3666370
ISSN:0094-243X
-
Investigation about I-V Characteristics in a New Electronic Structure Model of the Ohmic Contact for Future Nano-scale Ohmic Contact 査読有り
Yukihiro Takada, Masakazu Muraguchi, Tetsuo Endoh, Shintaro Nomura, Kenji Shiraishi
TECHNOLOGY EVOLUTION FOR SILICON NANO-ELECTRONICS 470 43-+ 2011年
出版者・発行元:TRANS TECH PUBLICATIONS LTDDOI: 10.4028/www.scientific.net/KEM.470.43
ISSN:1013-9826
-
Collective Electron Tunneling Model in Si-Nano Dot Floating Gate MOS Structure 査読有り
Masakazu Muraguchi, Yoko Sakurai, Yukihiro Takada, Yasuteru Shigeta, Mitsuhisa Ikeda, Katsunori Makihara, Seiichi Miyazaki, Shintaro Nomura, Kenji Shiraishi, Tetsuo Endoh
TECHNOLOGY EVOLUTION FOR SILICON NANO-ELECTRONICS 470 48-+ 2011年
出版者・発行元:TRANS TECH PUBLICATIONS LTDDOI: 10.4028/www.scientific.net/KEM.470.48
ISSN:1013-9826
-
A new sensing scheme with high signal margin suitable for Spin-Transfer Torque RAM 査読有り
Hiroki Koike, Tetsuo Endoh
International Symposium on VLSI Technology, Systems, and Applications, Proceedings 56-57 2011年
DOI: 10.1109/VTSA.2011.5872230
-
New design method of the 3-Dimensional vertical stacked FG type NAND cell arrays without the interference effect 査読有り
Moon-Sik Seo, Tetsuo Endoh
International Symposium on VLSI Technology, Systems, and Applications, Proceedings 152-153 2011年
DOI: 10.1109/VTSA.2011.5872270
-
A new compact SRAM cell by vertical MOSFET for low-power and stable operation 査読有り
Hyoungjun Na, Tetsuo Endoh
2011 3rd IEEE International Memory Workshop, IMW 2011 Session 3-3 46-49 2011年
-
A novel 3-d vertical FG NAND flash memory cell arrays using the separated sidewall control gate (S-SCG) for highly reliable MLC operation 査読有り
Moon-Sik Seo, Bong-Hoon Lee, Sung-Kye Park, Tetsuo Endoh
2011 3rd IEEE International Memory Workshop, IMW 2011 Session 4-3 61-64 2011年
-
Restructuring of Memory Layer in Electrical System and Its Novel Evolution with Nonvolatile Logic 招待有り 査読有り
T. Endoh
ULSI PROCESS INTEGRATION 7 41 (7) 59-70 2011年
出版者・発行元:ELECTROCHEMICAL SOC INCDOI: 10.1149/1.3633285
ISSN:1938-5862
-
Collective Tunneling Model between Two-Dimensional Electron Gas to Si-Nano Dot 査読有り
M. Muraguchi, Y. Sakurai, Y. Takada, S. Nomura, K. Shiraishi, K. Makihara, M. Ikeda, S. Miyazaki, Y. Shigeta, T. Endoh
PHYSICS OF SEMICONDUCTORS: 30TH INTERNATIONAL CONFERENCE ON THE PHYSICS OF SEMICONDUCTORS 1399 295-296 2011年
出版者・発行元:AMER INST PHYSICSDOI: 10.1063/1.3666370
ISSN:0094-243X
-
A 600MHz MTJ-Based Nonvolatile Latch Making Use of Incubation Time in MTJ Switching 査読有り
T. Endoh, S. Togashi, F. Iga, Y. Yoshida, T. Ohsawa, H. Koike, S. Fukami, S. Ikeda, N. Kasai, N. Sakimura, T. Hanyu, H. Ohno
2011 IEEE INTERNATIONAL ELECTRON DEVICES MEETING (IEDM) Session No. 4.3 2011年
出版者・発行元:IEEEDOI: 10.1109/IEDM.2011.6131487
-
Quantum transport in deterministically implanted single-donors in Si FETs 査読有り
T. Shinada, M. Hori, F. Guagliardo, G. Ferrari, A. Komatubara, K. Kumagai, T. Tanii, T. Endo, Y. Ono, E. Prati
2011 IEEE INTERNATIONAL ELECTRON DEVICES MEETING (IEDM) Session No. 30.4 2011年
出版者・発行元:IEEEDOI: 10.1109/IEDM.2011.6131644
-
A new sensing scheme with high signal margin suitable for Spin-Transfer Torque RAM 査読有り
Hiroki Koike, Tetsuo Endoh
International Symposium on VLSI Technology, Systems, and Applications, Proceedings 56-57 2011年
DOI: 10.1109/VTSA.2011.5872230
-
Collective Tunneling Model in Charge Trap Type NVM Cell 査読有り
M. Muraguchi, Y. Sakurai, Y. Takada, Y. Shigeta, M. Ikeda, K. Makihara, S. Miyazaki, S. Nomura, K. Shiraishi, T. Endoh
Jpn. J. Appl. Phys. 2010年12月
-
Spin Transfer Torque MRAM (SPRAM) and its applications 招待有り 査読有り
Tetsuo Endoh
International Technology Roadmap for Semiconductors Memory Materials Workshop 2010年11月30日
-
3D stack of FG type NAND Flash memory cell towards ultra high density storage memory 招待有り 査読有り
M.S. Seo, T. Endoh
4th Stanford and Tohoku Universities Joint Open Workshop on 3D Transistor and its Applications 2010年11月5日
-
A new vertical MOSFET ”Vertical Logic Circuit (VLC) MOSFET” suppressing asymmetric characteristics and realizing an ultra compact and robust logic circuit 査読有り
K. Sakui, T. Endoh
Solid-State Electronics 54 (11) 1457-1462 2010年11月
出版者・発行元:NoneDOI: 10.1016/j.sse.2010.06.005
ISSN:0038-1101
-
High Efficient, Low Power, and Compact Charge Pump by Vertical MOSFET’s 査読有り
K. Sakui, T. Endoh
Solid-State Electronics 54 (10) 1192-1196 2010年10月
出版者・発行元:NoneDOI: 10.1016/j.sse.2010.05.016
ISSN:0038-1101
-
Collective Tunneling Model in Charge Trap Type NVM Cell 査読有り
Masakazu Muraguchi, Yoko Sakurai, Yukihiro Takada, Yasuteru Shigeta, Mitsuhisa Ikeda, Katsunori Makihara, Seiichi Miyazaki, Shintaro Nomura, Kenji Shiraishi, Tetsuo Endoh
the 2010 International Conference on Solid State Devices and Materials E-3-2 750-751 2010年9月22日
-
Fabrication of hp 25nm Si Pillar Using New Multiple Double Patterning Technique 査読有り
Masato Kushibiki, Arisa Hara, Eiichi Nishimura, Tetsuo Endoh
the 2010 International Conference on Solid State Devices and Materials P-1-25L 233-234 2010年9月22日
-
構造融合・機能融合によるシリコンテクノロジーの新展開-シリコンテクノロジーの未来像を徹底的に考える- 招待有り 査読有り
遠藤哲郎
平成22年秋季第71回応用物理学会学術講演会 16p-ZE-8 2010年9月14日
-
SiON/Poly-Si NMOSFETの飽和領域における1/fノイズ特性 査読有り
今本拓也, 佐々木健志, 遠藤哲郎
平成22年秋季第71回応用物理学会学術講演会 14a-ZE-12 2010年9月14日
-
縦型構造MOSFETおよびFINFETにおける10nmチャネル領域への電子注入に及ぼす不純物位置効果 査読有り
村口正和, 遠藤哲郎
平成22年秋季第71回応用物理学会学術講演会 14a-ZE-13 2010年9月14日
-
I-MOSのS-Factor特性に対するi型領域長依存性 査読有り
板垣明宏, 遠藤哲郎
平成22年秋季第71回応用物理学会学術講演会 15a-ZE-5 2010年9月14日
-
High-k/Metal Gate nMOSFETにおける駆動電流の温度依存特性 査読有り
佐々木健志, 今本拓也, 遠藤哲郎
平成22年秋季第71回応用物理学会学術講演会 15a-ZE-6 2010年9月14日
-
The Scalability of FG width of the 3-dimensional vertical FG NAND flash memory cell arrays with the Extended Sidewall Control Gate (ESCG) structure 査読有り
徐文植, 遠藤哲郎
平成22年秋季第71回応用物理学会学術講演会 17a-ZE-4 2010年9月14日
-
Study of Retention Characteristics of Vertical type 1T-DRAM 査読有り
則房勇人, 遠藤哲郎
平成22年秋季第71回応用物理学会学術講演会 17a-ZE-10 2010年9月14日
-
1GHz以上の高速電流パルス生成回路を有する2ステッププログラム手法のベースパルス特性 査読有り
羅炯竣, 鈴木保彦, 今本拓也, 遠藤哲郎
平成22年秋季第71回応用物理学会学術講演会 17a-ZE-11 2010年9月14日
-
Current Controlled MOS Current Mode Logicによる出力基準電圧の安定化 査読有り
羅炯竣, 遠藤哲郎
平成22年秋季第71回応用物理学会学術講演会 17a-ZE-12 2010年9月14日
-
基板バイアス印加による単一-イオン個数制御性の検証 査読有り
堀匡寛, 小松原彰, 品田賢宏, 小野行徳, 平圭吾, 谷井孝至, 遠藤哲郎, 大泊巌
平成22年秋季第71回応用物理学会学術講演会 16a-ZD-3 2010年9月14日
-
縦型MOSFET技術に基づく3次元集積回路とその将来展望 招待有り 査読有り
遠藤哲郎
技術戦略委員会省電力エレクトロニクス技術分科会, 電子情報技術産業協会技報 1-5 2010年9月10日
-
Importance of electronic state of two-dimensional electron gas for electron injection process in nano-electronic devices 査読有り
M. Muraguchi, T. Endoh, Y. Takada, Y. Sakurai, S. Nomura, K. Shiraishi, M. Ikeda, K. Makihara, S. Miyazaki, Y. Shigeta
PHYSICA E-LOW-DIMENSIONAL SYSTEMS & NANOSTRUCTURES 42 (10) 2602-2605 2010年9月
出版者・発行元:ELSEVIER SCIENCE BVDOI: 10.1016/j.physe.2009.12.025
ISSN:1386-9477
-
Proposal of a new physical model for Ohmic contacts 査読有り
Y. Takada, M. Muraguchi, T. Endoh, S. Nomura, K. Shiraishi
PHYSICA E-LOW-DIMENSIONAL SYSTEMS & NANOSTRUCTURES 42 (10) 2837-2840 2010年9月
出版者・発行元:ELSEVIER SCIENCE BVDOI: 10.1016/j.physe.2010.02.011
ISSN:1386-9477
-
New Phenomena in the Dependency of 1/f Noise Characteristics on Temperature for SiON/Poly-Si Gate N-type MOSFET 査読有り
Takuya Imamoto, Takeshi Sasaki, Tetsuo Endoh
2010 TOHOKU-SECTION JOINT CONVENTION RECORD OF ELECTRICAL AND INFORMATION ENGINEERS 1A06 6 2010年8月26日
-
The interference characteristics of the 3-dimensional vertical FG NAND flash memory cell arrays with the Extended Sidewall Control Gate (ESCG) structure 査読有り
Moon-Sik Seo, Tetsuo Endoh
2010 TOHOKU-SECTION JOINT CONVENTION RECORD OF ELECTRICAL AND INFORMATION ENGINEERS 1A07 7 2010年8月26日
-
Improvement of Differential-Mode Voltage Gain by Current Controlled MOS Current Mode Logic 査読有り
H.-J. Na, Tetsuo Endoh
2010 TOHOKU-SECTION JOINT CONVENTION RECORD OF ELECTRICAL AND INFORMATION ENGINEERS 1A09 9 2010年8月26日
-
Base Pulse Characteristics of 2 Step Program Method with Over 1GHz High-Speed Current Pulse Generation Circuit 査読有り
H.-J. Na, Yasuhiko Suzuki, Takuya Imamoto, Tetsuo Endoh
2010 TOHOKU-SECTION JOINT CONVENTION RECORD OF ELECTRICAL AND INFORMATION ENGINEERS 1A10 10 2010年8月26日
-
Disturb Characteristics of Vertical type 1T-DRAM 査読有り
Yuto Norifusa, Tetsuo Endoh
2010 TOHOKU-SECTION JOINT CONVENTION RECORD OF ELECTRICAL AND INFORMATION ENGINEERS 1A11 11 2010年8月26日
-
縦型構造MOSFET およびFINFET における10nm チャネル領域への電子注入過程の研究 査読有り
村口正和, 遠藤哲郎
電気関係学会東北支部連合大会 1I07 283 2010年8月26日
-
A Compact Space and Efficient Drain Current Design for Multi-Pillar Vertical MOSFET’s 査読有り
K. Sakui, T. Endoh
International Conference on. Solid State Devices and Materials (SSDM2009) 57 (8) 1768-1773 2010年8月
出版者・発行元:NoneISSN:0018-9383
-
A Compact Space and Efficient Drain Current Design for Multipillar Vertical MOSFETs 査読有り
Koji Sakui, Tetsuo Endoh
IEEE TRANSACTIONS ON ELECTRON DEVICES 57 (8) 1768-1773 2010年8月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCISSN:0018-9383
-
The Analysis of Temperature Dependency of the Mobility In High-k/Metal Gate MOSFET and the Performance on its CMOS Inverter 査読有り
Takeshi Sasaki, Takuya Imamoto, Tetsuo Endoh
2010 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 7A.4 (ED) 177-182 2010年6月30日
出版者・発行元:一般社団法人電子情報通信学会ISSN:0913-5685
-
Evaluation of 1/f Noise Characteristics in High-k/Metal Gate and SiON/Poly-Si Gate MOSFET 査読有り
Takuya Imamoto, Takeshi Sasaki, Tetsuo Endoh
2010 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 7A.4 (ED) 195-198 2010年6月30日
出版者・発行元:一般社団法人電子情報通信学会ISSN:0913-5685
-
Impact of Floating Body type DRAM with the Vertical MOSFET 査読有り
Yuto Norifusa, Tetsuo Endoh
2010 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 8A.3 (ED) 211-216 2010年6月30日
-
The optimum physical targets of the 3-dimensional vertical FG NAND flash memory cell arrays with the extended sidewall control gate (ESCG) structure 査読有り
Moon-Sik Seo, Tetsuo Endoh
2010 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 8A.6 (ED) 225-230 2010年6月30日
出版者・発行元:一般社団法人電子情報通信学会ISSN:0913-5685
-
The Impact of Current Controlled-MOS Current Mode Logic/Magnetic Tunnel Junction Hybrid Circuit for Stable and High-speed Operation 査読有り
Tetsuo Endoh, Masashi Kamiyanagi, Masakazu Muragudhi, Takuya Imamoto, Takeshi Sasaki
2010 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 7B.1 (ED) 257-262 2010年6月30日
出版者・発行元:一般社団法人電子情報通信学会ISSN:0913-5685
-
Verification of Stable Circuit Operation of 180nm Current Controlled MOS Current Mode Logic under Threshold Voltage Fluctuation 査読有り
Masashi Kamiyanagi, Takuya Imamoto, Takeshi Sasaki, Hyoungjun Na, Tetsuo Endoh
2010 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 7B.2 (ED) 263-267 2010年6月30日
出版者・発行元:一般社団法人電子情報通信学会ISSN:0913-5685
-
Over 1GHz High-Speed Current Pulse Generation Circuit for Novel Nonvolatile Memory Cells 査読有り
Tetsuo Endoh, Yasuhiko Suzuki, Takuya Imamoto, Hyoungjun Na
2010 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 7B.6 (ED) 283-288 2010年6月30日
出版者・発行元:一般社団法人電子情報通信学会ISSN:0913-5685
-
Study on Impurity Distribution Dependence of Electron-Dynamics in Vertical MOSFET 査読有り
Masakazu Muraguchi, Tetsuo Endoh
2010 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 9B.2 (ED) 309-313 2010年6月30日
-
Study on Collective Electron Motion in Si-Nano Dot Floating Gate MOS Capacitor 査読有り
Masakazu Muraguchi, Yoko Sakurai, Yukihiro Takada, Shintaro Nomura, Kenji Shiraishi, Mitsuhisa Ikeda, Katsunori Makihara, Seiichi Miyazaki, Yasuteru Shigeta, Tetsuo Endoh
2010 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 9B.4 (ED) 319-324 2010年6月30日
出版者・発行元:一般社団法人電子情報通信学会ISSN:0913-5685
-
Sub-threshold Characteristics of High-k/Metal Gate MOSFET 査読有り
Takuya Imamoto, Takeshi Sasaki, Tetsuo Endoh
International Meeting for Future of Electron Devices A-2 32-33 2010年5月13日
-
Dependency of Driving Current on Channel Width in High-k/Metal Gate MOSFET 査読有り
Takeshi Sasaki, Takuya Imamoto, Tetsuo Endoh
International Meeting for Future of Electron Devices A-3 34-35 2010年5月13日
-
Bias Voltage Sweep Speed Dependence of Electron Injection in Si-Nano-Dots Floating Gate MOS Capacitor 査読有り
M. Muraguchi, Y, Sakurai, Y.Takada, S. Nomura, K. Shiraishi, M. Ikeda, K. Makihara, S. Miyazaki, Y. Shigeta, T. Endoh
International Meeting for Future of Electron Devices B-2 48-49 2010年5月13日
-
Design of 30 nm FinFETs and Double Gate MOSFETs with Halo Structure 査読有り
Tetsuo Endoh, Koji Sakui, Yukio Yasuda
IEICE TRANSACTIONS ON ELECTRONICS E93C (5) 534-539 2010年5月
出版者・発行元:IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENGDOI: 10.1587/transele.E93.C.534
ISSN:0916-8524
eISSN:1745-1353
-
Study on Quantum Electro-Dynamics in Vertical MOSFET 査読有り
Masakazu Muraguchi, Tetsuo Endoh
IEICE TRANSACTIONS ON ELECTRONICS E93C (5) 552-556 2010年5月
出版者・発行元:IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENGDOI: 10.1587/transele.E93.C.552
ISSN:0916-8524
eISSN:1745-1353
-
Sub-10 nm Multi-Nano-Pillar Type Vertical MOSFET 査読有り
Tetsuo Endoh, Koji Sakui, Yukio Yasuda
IEICE TRANSACTIONS ON ELECTRONICS E93C (5) 557-562 2010年5月
出版者・発行元:IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENGDOI: 10.1587/transele.E93.C.557
ISSN:0916-8524
eISSN:1745-1353
-
Importance of the Electronic State on the Electrode in Electron Tunneling Processes between the Electrode and the Quantum Dot 査読有り
Masakazu Muraguchi, Yukihiro Takada, Shintaro Nomura, Tetsuo Endoh, Kenji Shiraishi
IEICE TRANSACTIONS ON ELECTRONICS E93C (5) 563-568 2010年5月
出版者・発行元:IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENGDOI: 10.1587/transele.E93.C.563
ISSN:1745-1353
eISSN:1745-1353
-
Transient Characteristic of Fabricated Magnetic Tunnel Junction (MTJ) Programmed with CMOS Circuit 査読有り
Masashi Kamiyanagi, Fumitaka Iga, Shoji Ikeda, Katsuya Miura, Jun Hayakawa, Haruhiro Hasegawa, Takahiro Hanyu, Hideo Ohno, Tetsuo Endoh
IEICE TRANSACTIONS ON ELECTRONICS E93C (5) 602-607 2010年5月
出版者・発行元:IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENGDOI: 10.1587/transele.E93.C.602
ISSN:0916-8524
eISSN:1745-1353
-
Study of the DC Performance of Fabricated Magnetic Tunnel Junction Integrated on Back-End Metal Line of CMOS Circuits 査読有り
Fumitaka Iga, Masashi Kamiyanagi, Shoji Ikeda, Katsuya Miura, Jun Hayakawa, Haruhiro Hasegawa, Takahiro Hanyu, Hideo Ohno, Tetsuo Endoh
IEICE TRANSACTIONS ON ELECTRONICS E93C (5) 608-613 2010年5月
出版者・発行元:IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENGDOI: 10.1587/transele.E93.C.608
ISSN:0916-8524
eISSN:1745-1353
-
Mechanical and tribological properties of boron, nitrogen-coincorporated diamond-like carbon films prepared by reactive radio-frequency magnetron sputtering 査読有り
H. Nakazawa, A. Sudoh, M. Suemitsu, K. Yasui, T. Itoh, T. Endoh, Y. Narita, M. Mashita
DIAMOND AND RELATED MATERIALS 19 (5-6) 503-506 2010年5月
出版者・発行元:ELSEVIER SCIENCE SADOI: 10.1016/j.diamond.2010.01.026
ISSN:0925-9635
-
Effect of Carrier Scattering Phenomena on Drain Current Variability in Si MOSFETs 査読有り
K. Ohmori, T. Matsuki, Y. Ohkura, J. Yugami, K. Ikeda, Y. Ohji, Y. Yasuda, T. Endoh, K. Shiraishi, K. Yamada
217th ECS Meeting 918(E1) 2010年4月25日
-
Impact of Vertical Devices for Future Nano LSI 招待有り 査読有り
Tetsuo Endoh
Materials Research Society (MRS) 2010 Spring Meeting I7-1 2010年4月9日
-
Spin Transfer Torque MRAM (SPRAM) and its applications 招待有り 査読有り
Tetsuo Endoh
The International Technology Roadmap for Semiconductors (ITRS) Emerging Research Memory Technologies Workshop, Emerging Research Devices 2010年4月6日
-
Temperature Dependence of Electron Tunneling between Two Dimensional Electron Gas and Si Quantum Dots 査読有り
Y., Sakurai, Y., Takada, J-I., Iwata, K., Shiraishi, S., Nomura, M., Muraguchi, T., Endoh, Y., Shigeta, M., Ikeda, K., Makihara, S., Miyazaki
ECS Transactions 28 (71) 369-374 2010年4月
出版者・発行元:The Electrochemical Society -
2次元電子ガス-量子ドット界面における電子トンネル過程に対する微視的考察 査読有り
村口正和, 高田幸宏, 櫻井蓉子, 野村晋太郎, 白石賢二, 牧原克典, 池田弥央, 宮崎誠一, 重田育照, 遠藤哲郎
日本物理学会第65回年次大会講演概要集 21aHV-13 713 2010年3月20日
-
Impact of Vertical Structured Devices and Spintronic Devices for Future Nano LSI 招待有り 査読有り
Tetsuo Endoh
SEMICON Korea2010 STS S3-6 2010年2月3日
-
Restructuring of Memory Hierarchy in Electrical System and No-Standby-Power Nonvolatile Logic with STT-RAM Technology 招待有り 査読有り
Tetsuo Endoh
The 2nd CSIS International Symposium on Spintronics-based VLSIs F1 18-18 2010年2月2日
-
Anomalous temperature dependence of electron tunneling between a two-dimensional electron gas and Si dots 査読有り
Y. Sakurai, S. Nomura, Y. Takada, J. Iwata, K. Shiraishi, M. Muraguchi, T. Endoh, Y. Shigeta, M. Ikeda, K. Makihara, S. Miyazaki
PHYSICA E-LOW-DIMENSIONAL SYSTEMS & NANOSTRUCTURES 42 (4) 918-921 2010年2月
出版者・発行元:ELSEVIER SCIENCE BVDOI: 10.1016/j.physe.2009.11.120
ISSN:1386-9477
-
Current status of NAND flash memory and future prospect of the next generation nonvolatile semiconductor memory for new storage systems 招待有り 査読有り
Tetsuo Endoh
11th Joint MMM-intermag Conference HA-05 2010年1月18日
-
Fabrication Method of Sub-100 nm Metal-Oxide-Semiconductor Field-Effect Transistor with Thick Gate Oxide 査読有り
Vipul Singh, Hiroshi Inokawa, Tetsuo Endoh, Hiroaki Satoh
JAPANESE JOURNAL OF APPLIED PHYSICS 49 (12) 128002_1-2 2010年
出版者・発行元:JAPAN SOC APPLIED PHYSICSISSN:0021-4922
-
Proposal of a new electronic structure model of ohmic contacts for the future metallic source and drain 査読有り
Yukihiro Takada, Masakazu Muraguchi, Tetsuo Endoh, Shintaro Nomura, Kenji Shiraishi
IWJT-2010: Extended Abstracts - 2010 International Workshop on Junction Technology 78-81 2010年
DOI: 10.1109/IWJT.2010.5474985
-
Transient characteristic of fabricated magnetic tunnel junction (MTJ) programmed with CMOS circuit 招待有り 査読有り
Masashi Kamiyanagi, Fumitaka Iga, Shoji Ikeda, Katsuya Miura, Jun Hayakawa, Haruhiro Hasegawa, Takahiro Hanyu, Hideo Ohno, Tetsuo Endoh
IEICE Transactions on Electronics E93-C (5) 602-607 2010年
出版者・発行元:Institute of Electronics, Information and Communication, Engineers, IEICEDOI: 10.1587/transele.E93.C.602
ISSN:1745-1353 0916-8524
-
The Performance of Magnetic Tunnel Junction Integrated on the Back-End Metal Line of Complimentary Metal-Oxide-Semiconductor Circuits 査読有り
Tetsuo Endoh, Fumitaka Iga, Shoji Ikeda, Katsuya Miura, Jun Hayakawa, Masashi Kamiyanagi, Haruhiro Hasegawa, Takahiro Hanyu, Hideo Ohno
JAPANESE JOURNAL OF APPLIED PHYSICS 49 (4) 4 2010年
出版者・発行元:JAPAN SOC APPLIED PHYSICSISSN:0021-4922
-
Sub-10 nm multi-nano-pillar type vertical MOSFET 査読有り
Tetsuo Endoh, Koji Sakui, Yukio Yasuda
IEICE Transactions on Electronics E93-C (5) 557-562 2010年
出版者・発行元:Institute of Electronics, Information and Communication, Engineers, IEICEDOI: 10.1587/transele.E93.C.557
ISSN:1745-1353 0916-8524
-
Study of the DC performance of fabricated magnetic tunnel junction integrated on back-end metal line of CMOS circuits 査読有り
Fumitaka Iga, Masashi Kamiyanagi, Shoji Ikeda, Katsuya Miur, Jun Hayakawa, Haruhiro Hasegawa, Takahiro Hanyu, Hideo Ohno, Tetsuo Endoh
IEICE Transactions on Electronics E93-C (5) 608-613 2010年
出版者・発行元:Institute of Electronics, Information and Communication, Engineers, IEICEDOI: 10.1587/transele.E93.C.608
ISSN:1745-1353 0916-8524
-
Temperature Dependence of Electron Tunneling between Two Dimensional Electron Gas and Si Quantum Dots 査読有り
Yoko Sakurai, Jun-ichi Iwata, Masakazu Muraguchi, Yasuteru Shigeta, Yukihiro Takada, Shintaro Nomura, Tetsuo Endoh, Shin-ichi Saito, Kenji Shiraishi, Mitsuhisa Ikeda, Katsunori Makihara, Seiichi Miyazaki
JAPANESE JOURNAL OF APPLIED PHYSICS 49 (1) 014001-1-014001-4 2010年
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
Low Frequency Noise Characterization in Metal Oxide Semiconductor Field Effect Transistor Based Charge Transfer Device at Room and Low Temperatures 査読有り
Vipul Singh, Hiroshi Inokawa, Tetsuo Endoh, Hiroaki Satoh
JAPANESE JOURNAL OF APPLIED PHYSICS 49 (3) 034203-1-034203-4 2010年
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
Growth and characterization of GaSb/AlSb multiple quantum well structures on Si(111) and Si(001) substrates 査読有り
H. Toyota, S. Fujie, M. Haneta, A. Mikami, T. Endoh, Y. Jinbo, N. Uchitomi
PROCEEDINGS OF THE 14TH INTERNATIONAL CONFERENCE ON NARROW GAP SEMICONDUCTORS AND SYSTEMS 3 (2) 1345-1350 2010年
出版者・発行元:ELSEVIER SCIENCE BVDOI: 10.1016/j.phpro.2010.01.189
ISSN:1875-3892
-
A unique and accurate extraction technique of the asymmetric bottom-pillar resistance for the vertical MOSFET 査読有り
Koji Sakui, Tetsuo Endoh
2010 INTERNATIONAL CONFERENCE ON MICROELECTRONIC TEST STRUCTURES, 23RD IEEE ICMTS CONFERENCE PROCEEDINGS 220-224 2010年
出版者・発行元:IEEEDOI: 10.1109/ICMTS.2010.5466812
ISSN:1071-9032
-
Fine-Grained Power-Gating Scheme of a Metal–Oxide–Semiconductor and Magnetic-Tunnel-Junction-Hybrid Bit-Serial Ternary Content-Addressable Memory 査読有り
Shoun Matsunaga, Masanori Natsui, Kimiyuki Hiyama, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
Jpn. J. Appl. Phys 49 (4) 04DM05-1-04DM05-5 2010年
出版者・発行元:NoneISSN:0021-4922
-
The Performance of Magnetic Tunnel Junction Integrated on the Back-End Metal Line of Complimentary Metal-Oxide-Semiconductor Circuits 査読有り
Tetsuo Endoh, Fumitaka Iga, Shoji Ikeda, Katsuya Miura, Jun Hayakawa, Masashi Kamiyanagi, Haruhiro Hasegawa, Takahiro Hanyu, Hideo Ohno
JAPANESE JOURNAL OF APPLIED PHYSICS 49 (4) 04DM06-1-04DM06-5 2010年
出版者・発行元:JAPAN SOC APPLIED PHYSICSISSN:0021-4922
-
Investigation of the New Physical Model of Ohmic Contact for Future Nano-scale Contacts 査読有り
Y. Takada, M. Muraguchi, T. Endoh, S. Nomura, K. Shiraishi
ADVANCED GATE STACK, SOURCE/DRAIN, AND CHANNEL ENGINEERING FOR SI-BASED CMOS 6: NEW MATERIALS, PROCESSES, AND EQUIPMENT 28 (1) 73-79 2010年
出版者・発行元:ELECTROCHEMICAL SOC INCDOI: 10.1149/1.3375590
ISSN:1938-5862
-
Electron Tunneling between Si Quantum dots and Tow Dimensional Electron Gas under Optical Excitation at Low Temperatures 査読有り
Y. Sakurai, Y. Takada, J-I Iwata, K. Shiraishi, S. Nomura, M. Muraguchi, T. Endoh, Y. Shigeta, M. Ikeda, K. Makihara, S. Miyazaki
ADVANCED GATE STACK, SOURCE/DRAIN, AND CHANNEL ENGINEERING FOR SI-BASED CMOS 6: NEW MATERIALS, PROCESSES, AND EQUIPMENT 28 (1) 369-374 2010年
出版者・発行元:ELECTROCHEMICAL SOC INCDOI: 10.1149/1.3375623
ISSN:1938-5862
-
A Compact, High-Speed, and Low-Power Design for Multi-Pillar Vertical MOSFET’s, Suppressing Characteristic Influences by Process Fluctuation 査読有り
K. Sakui, T. Endoh
International Symposium on VLSI Technology, Systems an applications (VLSI-TSA) 2010 30-31 2010年
DOI: 10.1109/VTSA.2010.5488961
-
Performance evaluation of MOSFETs with discrete dopant distribution by one-by-one doping method 査読有り
T. Shinada, M. Hori, Y. Ono, K. Taira, A. Komatsubara, T. Tanii, T. Endoh, I. Ohdomari
ALTERNATIVE LITHOGRAPHIC TECHNOLOGIES II 7637 763711-1-763711-7 2010年
出版者・発行元:SPIE-INT SOC OPTICAL ENGINEERINGDOI: 10.1117/12.848322
ISSN:0277-786X
-
Design impacts on NAND Flash memory core circuits with vertical MOSFETs 査読有り
Koji Sakui, Tetsuo Endoh
2010 IEEE International Memory Workshop, IMW 2010 6-3 2010年
-
The 3-dimensional vertical FG NAND flash memory cell arrays with the novel electrical S/D technique using the Extended Sidewall Control Gate (ESCG) 査読有り
Moon-Sik Seo, Sung-Kye Park, Tetsuo Endoh
2010 IEEE International Memory Workshop, IMW 2010 9-4 2010年
-
A Compact and Low Power Logic Design for Multi-Pillar Vertical MOSFET’s 査読有り
Koji Sakui, Tetsuo Endoh
IEEE International Symposium on Circuits and Systems A2L-C 309-312 2010年
出版者・発行元:NoneDOI: 10.1109/ISCAS.2010.5537837
ISSN:0271-4302
-
Growth and characterization of GaSb/AlSb multiple quantum well structures on Si(111) and Si(001) substrates 査読有り
H. Toyota, S. Fujie, M. Haneta, A. Mikami, T. Endoh, Y. Jinbo, N. Uchitomi
PROCEEDINGS OF THE 14TH INTERNATIONAL CONFERENCE ON NARROW GAP SEMICONDUCTORS AND SYSTEMS 3 (2) 1345-1350 2010年
出版者・発行元:ELSEVIER SCIENCE BVDOI: 10.1016/j.phpro.2010.01.189
ISSN:1875-3892
-
Future high density memory with vertical structured device technology 招待有り 査読有り
Tetsuo Endoh
ICSICT-2010 - 2010 10th IEEE International Conference on Solid-State and Integrated Circuit Technology, Proceedings 1051-1054 2010年
DOI: 10.1109/ICSICT.2010.5667541
-
Magnetic Tunnel Junction for Nonvolatile CMOS Logic 招待有り 査読有り
Hideo Ohno, Tetsuo Endoh, Takahiro Hanyu, Naoki Kasai, Shoji Ikeda
2010 INTERNATIONAL ELECTRON DEVICES MEETING - TECHNICAL DIGEST 9.4.1-9.4.4 2010年
出版者・発行元:IEEEDOI: 10.1109/IEDM.2010.5703329
-
Reliable Single Atom Doping and Discrete Dopant Effects on Transistor Performance 査読有り
Takahiro Shinada, Masahiro Hori, Yukinori Ono, Keigo Taira, Akira Komatsubara, Takashi Tanii, Tetsuo Endoh, Iwao Ohdomari
2010 INTERNATIONAL ELECTRON DEVICES MEETING - TECHNICAL DIGEST 26.5.1-26.5.4 2010年
出版者・発行元:IEEEDOI: 10.1109/IEDM.2010.5703428
ISSN:2380-9248
-
Stacked type NAND cell technology 招待有り 査読有り
Koji Sakui, Tetsuo Endoh
3rd Stanford and Tohoku Universities Joint Open Workshop on 3D Transistor and its Applications 2009年12月4日
-
Effects of Silicon Source Gas and Substrate Bias on the Film Properties of Si-Incorporated Diamond-Like Carbon by Radio-Frequency Plasma-Enhanced Chemical Vapor Deposition 査読有り
Hideki Nakazawa, Takeshi Kinoshita, Yuhta Kaimori, Yuhki Asai, Maki Suemitsu, Toshimi Abe, Kanji Yasui, Tetsuo Endoh, Takashi Itoh, Yuzuru Narita, Yoshiharu Enta, Masao Mashita
JAPANESE JOURNAL OF APPLIED PHYSICS 48 (11) 116002-116010 2009年11月
出版者・発行元:JAPAN SOCIETY APPLIED PHYSICSISSN:0021-4922
eISSN:1347-4065
-
New Tunneling Model with Dependency of Temperature Measured in Si Nano-Dot Floating Gate MOS Capacitor 査読有り
M. Muraguchi, Y.Sakurai, Y. Takada, Y. Shigeta, M. Ikeda, K. Makihara, S. Miyazaki, S. Nomura, K. Shiraishi, T. Endoh
International Conference on. Solid State Devices and Materials (SSDM2009) E10 2009年10月7日
-
Fine-Grain Power-Gating Scheme of a CMOS/MTJ-Hybrid Bit-Serial Ternary Content-Addressable Memory 査読有り
Shown Matsunaga, Atsushi Matsumoto, Masanori Natusi, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
Proc. of International Conference on Solid State Devices and Materials 1382-1383 2009年10月
-
円-リング複合型量子ドットにおけるFloquet状態 査読有り
村口正和, 遠藤哲郎, 杉山功太, 多川知希, 奧西拓馬, 武田京三郎
日本物理学会2009年秋季大会 25pPSB-6 2009年9月25日
-
光励起下における電子ガス-量子ドット結合系のC-V特性とI-V特性 査読有り
櫻井蓉子, 高田幸宏, 野村晋太郎, 白石賢二, 村口正和, 遠藤哲郎, 池田弥央, 牧原克典, 宮崎誠一
日本物理学会2009年秋季大会 26aXG-13 2009年9月25日
-
量子ドットに閉じ込められた電子-電子,電子-正孔対の第一原理動力学 査読有り
多川知希, 武田京三郎, 村口正和, 遠藤哲郎
日本物理学会2009年秋季大会 25pPSB-7 2009年9月25日
-
シングルイオン注入法の基板バイアス印加による単一性改善に関する研究 査読有り
堀匡寛, 品田賢宏, 平圭吾, 遠藤哲郎, 谷井孝至, 大泊巌
平成21年秋季第70回応用物理学会学術講演会 10p-TG-2 2009年9月8日
-
離散的ドーパント位置のデバイス特性に及ぼす影響調査 査読有り
平圭吾, 品田賢宏, 堀匡寛, 谷井孝至, 遠藤哲郎, 大泊巌
平成21年秋季第70回応用物理学会学術講演会 8a-TE-5 2009年9月8日
-
しきい値および電源電圧同時ばらつきに対するCC-MCMLインバータ回路の制御理論 査読有り
上柳雅史, 遠藤哲郎
平成21年秋季第70回応用物理学会学術講演会 8a-TE-5 2009年9月8日
-
High-k絶縁膜/Poly-SiゲートおよびMetalゲート電極を有するn型MOSFETのカットオフ特性の評価 査読有り
佐々木健志, 今本拓也, 村口正和, 遠藤哲郎
平成21年秋季第70回応用物理学会学術講演会 10a-TA-10 2009年9月8日
-
poly-Si及び金属ゲート電極を有するhigh-k絶縁膜系p型MOSFETのカットオフ特性 査読有り
今本拓也, 佐々木健志, 村口正和, 遠藤哲郎
平成21年秋季第70回応用物理学会学術講演会 10a-TA-9 2009年9月8日
-
量子電子動力学に基づく縦型構造MOSFETの 駆動電流特性に対する理論的考察 査読有り
村口正和, 遠藤哲郎
平成21年秋季第70回応用物理学会学術講演会 11a-TH-1 2009年9月8日
-
将来のナノコンタクトを目指したオーミック接触の再考 査読有り
高田幸宏, 村口正和, 遠藤哲郎, 野村晋太郎, 白石賢二
平成21年秋季第70回応用物理学会学術講演会 10p-TG-17 2009年9月8日
-
ナノ世代デバイス設計から見たゲートスタック技術 査読有り
遠藤哲郎
平成21年秋季第70回応用物理学会学術講演会 9p-TC-6 2009年9月8日
-
Performance enhancement of semiconductor devices by control of discrete dopant distribution 査読有り
M. Hori, T. Shinada, K. Taira, N. Shimamoto, T. Tanii, T. Endo, I. Ohdomari
NANOTECHNOLOGY 20 (36) 365205 (5pp)-365210 2009年9月
出版者・発行元:IOP PUBLISHING LTDDOI: 10.1088/0957-4484/20/36/365205
ISSN:0957-4484
-
Mechanical and Tribological Properties of Boron, Nitrogen-Coincorporated Diamond-Like Carbon Films Prepared by Reactive Radio-Frequency Magnetron Sputtering 査読有り
H. Nakazawa, A. Sudoh, M. Suemitsu, K. Yasui, T. Itoh, T. Endoh, Y. Narita, M. Mashita
20th European Conference on Diamond, Diamond-Like Materials, Carbon Nanotubes, and Nitrides (Diamond 2009) 6-10 2009年9月
-
Control Theory of CC-MCML Inverter for Stable Operation under Fluctuation of Supplied Voltage 査読有り
M. Kamiyanagi, T. Endoh
2009 Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers,Japan 2A03 2009年8月20日
-
Stability of Magnetic Tunnel Junction Integrated on CMOS Circuit 査読有り
F. Iga, H. Ohono, T. Endoh
2009 Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers,Japan 2A22 2009年8月20日
-
Evaluation of Drive Current of p-MOSFET with High-k Dielectric as a Gate Insulator for High-Performance CMOS Applications 査読有り
T. Sasaki, T. Imamoto, T. Endoh
2009 Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers,Japan 2A01 2009年8月20日
-
Evaluation of Drive Current of Hf-based High-k n-type MOSFET with p+poly-Si or Metal Gate Electrode 査読有り
T. Imamoto, T. Sasaki, T. Endoh
2009 Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers,Japan 2A02 2009年8月20日
-
縦型構造MOSFETにおけるチャネル中への電子注入過程に対する理論的研究 査読有り
村口正和, 遠藤哲郎
2009 Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers,Japan 1D04 2009年8月20日
-
間欠ガス供給を用いたホットメッシュCVD法によるSi上GaNエピタキシャル成長 査読有り
齋藤健, 永田一樹, 西山洋, 末光眞希, 伊藤隆, 遠藤哲郎, 中澤日出樹, 成田克, 高田雅介, 赤羽正志, 安井寛治
電子情報通信学会 電子部品・材料研究会 109 (171) 61-66 2009年8月11日
出版者・発行元:一般社団法人電子情報通信学会ISSN:0913-5685
-
有機シランを用いたプラズマCVD法によるダイヤモンドライクカーボン薄膜の膜特性評価 査読有り
三浦創史, 中澤日出樹, 西崎圭太, 末光眞希, 安井寛治, 伊藤隆, 遠藤哲郎, 成田克
電子情報通信学会電子部品・材料(CPM)研究会 2009年8月10日
-
有機シランを用いたプラズマCVD法によるダイヤモンドライクカーボン薄膜の膜特性評価 査読有り
三浦創史, 中澤日出樹, 西崎圭太, 末光眞希, 安井寛治, 伊藤隆, 遠藤哲郎, 成田克
電子情報通信学会技術研究報告 vol. 109 (No. 171) 13-18 2009年8月10日
-
間欠ガス供給を用いたホットメッシュCVD法によるSi上GaNエピタキシャル成長 査読有り
齋藤健, 永田一樹, 西山洋, 末光眞希, 伊藤隆, 遠藤哲郎, 中澤日出樹, 成田克, 高田雅介, 赤羽正志, 安井寛治
電子情報通信学会技術研究報告 vol. 109 (No. 171) 61-66 2009年8月10日
出版者・発行元:一般社団法人電子情報通信学会ISSN:0913-5685
-
Importance of Electronic State of Two-Dimensional Electron Gas for Electron Injection Process in Nano-Electronic Devices 査読有り
M. Muraguchi, T. Endoh, Y. Takada, Y. Sakurai, S. Nomura, K. Shiraishi, M. Ikeda, K. Makihara, S. Miyazaki, Y. Shigeta
18th Electronic Properties of Two-Dimensional Systems (EP2DS-18) 14th Modulated Semiconductor Structures (MSS-14) Joint Conference Tu-mP22 2009年7月20日
-
Anomalous temperature dependence of electron tunneling 査読有り
Y. Sakurai, S. Nomura, Y. Takada, K. Shiraishi, M. Muraguchi, T. Endoh, Y. Shigeta, M. Ikeda, K. Makihara, S. Miyazaki
18th Electronic Properties of Two-Dimensional Systems (EP2DS-18) 14th Modulated Semiconductor Structures (MSS-14) Joint Conference Mo-eP49 2009年7月20日
-
Anomalous temperature dependence of electron tunneling 査読有り
Y. Takada, M. Muraguchi, T. Endoh, S. Nomura, K. Shiraishi
18th Electronic Properties of Two-Dimensional Systems (EP2DS-18) 14th Modulated Semiconductor Structures (MSS-14) Joint Conference Th-mP32 2009年7月20日
-
Epitaxial Growth of GaN Films by Pulse-Mode Hot-Mesh Chemical Vapor Deposition 査読有り
Yasuaki Komae, Kanji Yasui, Maki Suemitsu, Tetsuo Endoh, Takashi Ito, Hideki Nakazawa, Yuzuru Narita, Masasuke Takata, Tadashi Akahane
JAPANESE JOURNAL OF APPLIED PHYSICS 48 (7) 2009年7月
出版者・発行元:JAPAN SOCIETY APPLIED PHYSICSISSN:0021-4922
-
Epitaxial Growth of GaN Films by Pulse-Mode Hot-Mesh Chemical Vapor Deposition 査読有り
Yasuaki Komae, Kanji Yasui, Maki Suemitsu, Tetsuo Endoh, Takashi Ito, Hideki Nakazawa, Yuzuru Narita, Masasuke Takata, Tadashi Akahane
JAPANESE JOURNAL OF APPLIED PHYSICS 48 (7) 2009年7月
出版者・発行元:JAPAN SOCIETY APPLIED PHYSICSISSN:0021-4922
-
Epitaxial Growth of GaN Films by Pulse-Mode Hot-Mesh Chemical Vapor Deposition 査読有り
Yasuaki Komae, Kanji Yasui, Maki Suemitsu, Tetsuo Endoh, Takashi Ito, Hideki Nakazawa, Yuzuru Narita, Masasuke Takata, Tadashi Akahane
JAPANESE JOURNAL OF APPLIED PHYSICS 48 (7) 76509-76514 2009年7月
出版者・発行元:JAPAN SOCIETY APPLIED PHYSICSISSN:0021-4922
-
Impact of Vertical Structured Devices for Future Nano LSI 招待有り 査読有り
Tetsuo Endoh
2009 Lithography Workshop Session5-2 2009年6月29日
-
Study on Quantum Electro-Dynamics in Vertical MOSFET 査読有り
Masakazu Muraguchi, Tetsuo Endoh
2009 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 2B.10 2009年6月24日
-
Importance of the Electronic State on the Electrode in Electron Tunneling Processes between the Electrode and the Quantum Dot 査読有り
Masakazu Muraguchi, Yukihiro Takada, Shintaro Nomura, Tetsuo Endoh, Kenji Shiraishi
2009 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 3A.8 2009年6月24日
-
The Stable Circuit Operation of Current Controlled MCML against Fluctuation of Supplied Voltage 招待有り 査読有り
Masashi Kamiyanagi, Tetsuo Endoh
The 2009 International Meeting for future f Electron Devices, Kansai SC-7 120-121 2009年5月14日
-
Study of Stability of MOS Current Mode Logic NAND Circuit on Input Timimg Fluctuation 招待有り 査読有り
Tetsuo Endoh, Kazuhiro Suzuki, Masashi Kamiyanagi, Masakazu Muraguchi
The 2009 International Meeting for future f Electron Devices, Kansai C-3 58-59 2009年5月14日
-
Evaluation of Time-Dependent Power Consumption in SONOS type MOS diode during Program Operation by using Pulsed IV system 招待有り 査読有り
Fumitaka Iga, Tetsuo Endoh
The 2009 International Meeting for future f Electron Devices, Kansai C-4 60-61 2009年5月14日
-
Study of Electronic State in Electrode for Nano-Electronic Devices 招待有り 査読有り
Masakazu Muraguchi, Yukihiro Takada, Shintaro Nomura, Kenji Shiraishi, Tetsuo Endoh
The 2009 International Meeting for future f Electron Devices, Kansai B-5 46-47 2009年5月14日
-
Atomic hydrogen etching of silicon-incorporated diamond-like carbon films prepared by pulsed laser deposition 査読有り
H. Nakazawa, H. Sugita, Y. Enta, M. Suemitsu, K. Yasui, T. Itoh, T. Endoh, Y. Narita, M. Mashita
DIAMOND AND RELATED MATERIALS 18 (5-8) 831-834 2009年5月
出版者・発行元:ELSEVIER SCIENCE SADOI: 10.1016/j.diamond.2008.10.043
ISSN:0925-9635
-
Study of Self-Heating Phenomena in Si Nano Wire MOS Transistor 査読有り
Tetsuo Endoh, Yuto Norifusa
IEICE TRANSACTIONS ON ELECTRONICS E92C (5) 598-602 2009年5月
出版者・発行元:IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENGDOI: 10.1587/transele.E92.C.598
ISSN:0916-8524
eISSN:1745-1353
-
Scalability of Vertical MOSFETs in Sub-10 nm Generation and Its Mechanism 査読有り
Tetsuo Endoh, Yuto Norifusa
IEICE TRANSACTIONS ON ELECTRONICS E92C (5) 594-597 2009年5月
出版者・発行元:IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENGDOI: 10.1587/transele.E92.C.594
ISSN:0916-8524
eISSN:1745-1353
-
Study of Self-Heating Phenomena in Si Nano Wire MOS Transistor 査読有り
Tetsuo Endoh, Yuto Norifusa
IEICE TRANSACTIONS ON ELECTRONICS E92C (5) 598-602 2009年5月
出版者・発行元:IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENGDOI: 10.1587/transele.E92.C.598
ISSN:0916-8524
eISSN:1745-1353
-
Novel Concept Dynamic Feedback MCML Technique for High-Speed and High-Gain MCML Type Latch 査読有り
Tetsuo Endoh, Masashi Kamiyanagi
IEICE TRANSACTIONS ON ELECTRONICS E92C (5) 603-607 2009年5月
出版者・発行元:IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENGDOI: 10.1587/transele.E92.C.603
ISSN:0916-8524
eISSN:1745-1353
-
Atomic hydrogen etching of silicon-incorporated diamond-like carbon films prepared by pulsed laser deposition 査読有り
H. Nakazawa, H. Sugita, Y. Enta, M. Suemitsu, K. Yasui, T. Itoh, T. Endoh, Y. Narita, M. Mashita
DIAMOND AND RELATED MATERIALS 18 (5-8) 831-834 2009年5月
出版者・発行元:ELSEVIER SCIENCE SADOI: 10.1016/j.diamond.2008.10.043
ISSN:0925-9635
-
The growth of GaN films by alternate source gas supply hot-mesh CVD method 査読有り
Yasuaki Komae, Takeshi Saitou, Maki Suemitsu, Takashi Ito, Tetsuo Endoh, Hideki Nakazawa, Yuzuru Narita, Masasuke Takata, Tadashi Akahane, Kanji Yasui
THIN SOLID FILMS 517 (12) 3528-3531 2009年4月
出版者・発行元:ELSEVIER SCIENCE SADOI: 10.1016/j.tsf.2009.01.021
ISSN:0040-6090
-
The growth of GaN films by alternate source gas supply hot-mesh CVD method 査読有り
Yasuaki Komae, Takeshi Saitou, Maki Suemitsu, Takashi Ito, Tetsuo Endoh, Hideki Nakazawa, Yuzuru Narita, Masasuke Takata, Tadashi Akahane, Kanji Yasui
THIN SOLID FILMS 517 (12) 3528-3531 2009年4月
出版者・発行元:ELSEVIER SCIENCE SADOI: 10.1016/j.tsf.2009.01.021
ISSN:0040-6090
-
Si 原料に有機シランを用いたプラズマCVD 法によるSi 添加DLC 膜の膜特性評価 査読有り
三浦創史, 中澤日出樹, 西崎圭太, 末光眞希, 安井寛治, 伊藤隆, 遠藤哲郎, 成田克
2009年春季第56回応用物理関係連合講演会 2009年3月31日
-
ホットメッシュCVD法を用いたGaN成長におけるパルスガス供給の効果 査読有り
齋藤 健, 小前 泰彰, 西山 洋, 末光 眞希, 伊藤 隆, 遠藤 哲郎, 中澤 日出樹, 成田 克, 高田雅介, 赤羽正志, 安井寛治
2009年春季第56回応用物理関係連合講演会 2009年3月30日
-
少数個の電子で動く未来のデバイスの姿(シンポジウム講演) 査読有り
村口正和, 遠藤哲郎, 白石賢二, 野村晋太郎, 櫻井蓉子, 高田幸宏, 宮崎誠一, 牧原克典, 池田弥央
第55回応用物理学関係連合講演会 2009年3月30日
-
ホットメッシュCVD法を用いたGaN成長におけるパルスガス供給の効果 査読有り
齋藤 健, 小前泰彰, 西山洋, 末光眞希, 伊藤 隆, 遠藤哲郎, 中澤日出樹, 成田 克, 高田雅介, 赤羽正志, 安井寛治
日本物理学会春季大会 2009年3月30日
-
電子ガスー量子ドット結合系における電子ダイナミクスⅡ 査読有り
村口正和, 遠藤哲郎, 櫻井蓉子, 野村晋太郎, 高田幸宏, 白石賢二, 池田弥央, 牧原克典, 宮崎誠一, 斉藤慎一
日本物理学会春季大会 2009年3月27日
-
電子ガスー量子ドット結合系における電子構造Ⅱ 査読有り
高田幸宏, 櫻井蓉子, 村口正和, 池田弥央, 牧原克典, 宮崎誠一, 遠藤哲郎, 野村晋太郎, 白石賢二
日本物理学会春季大会 2009年3月27日
-
電子ガスー量子ドット結合系におけるCーV特性およびIーV特性のSweep Rate依存性 査読有り
櫻井蓉子, 野村晋太郎, 高田幸宏, 白石賢二, 村口正和, 遠藤哲郎, 池田弥央, 牧原克典, 宮崎誠一
日本物理学会春季大会 2009年3月27日
-
Si 原料に有機シランを用いたプラズマCVD 法によるSi 添加DLC 膜の膜特性評価 査読有り
三浦創史, 中澤日出樹, 西崎圭太, 末光眞希, 安井寛治, 伊藤隆, 遠藤哲郎, 成田克
日本物理学会春季大会 2009年3月27日
-
New insight into Tunneling Process between Quantum Dot and Electron Gas 査読有り
Masakazu Muraguchi, Tetsuo Endoh, Yoko Sakurai, Shintaro Nomura, Yukihiro Takada, Kenji Shiraishi, Mitsuhisa Ikeda, Katsunori Makihara, Seiichi Miyazaki, Yasuteru Shigeta
America Physical Society 2009 March Meeting 2009年3月17日
-
Temperature Dependence of Electron Tunneling between Quantum Dots and Electron Gas 査読有り
Yoko Sakurai, Shintaro Nomura, Yukihiro Takada, Kenji Shiraishi, Masakazu Muraguchi, Tetsuo Endoh, Mitsuhisa Ikeda, Katsunori Makihara
America Physical Society 2009 March Meeting 2009年3月17日
-
Temperature Dependence of Electron Tunneling between Quantum Dots and Electron Gas 査読有り
Yoko Sakurai, Shintaro Nomura, Yukihiro Takada, Kenji Shiraishi, Masakazu Muraguchi, Tetsuo Endoh, Mitsuhisa Ikeda, Katsunori Makihara, Seiichi Miyazaki
America Physical Society 2009 March Meeting V11-9 2009年3月16日
-
New insight into Tunneling Process between Quantum Dot and Electron Gas 査読有り
Masakazu Muraguchi, Tetsuo Endoh, Yoko Sakurai, Shintaro Nomura, Yukihiro Takada, Kenji Shiraishi, Mitsuhisa Ikeda, Katsunori Makihara, Seiichi Miyazaki, Yasuteru Shigeta
America Physical Society 2009 March Meeting V11-10 2009年3月9日
-
Standby-Power-Free Compact Ternary Content-Addressable Memory Cell Chip Using Magnetic Tunnel Junction Devices 査読有り
Shoun Matsunaga, Kimiyuki Hiyama, Atsushi Matsumoto, Shoji Ikeda, Haruhiro Hasegawa, Katsuya Miura, Jun Hayakawa, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
APPLIED PHYSICS EXPRESS 2 (2) 2009年2月
出版者・発行元:JAPAN SOCIETY APPLIED PHYSICSISSN:1882-0778
-
Raman-scattering spectroscopy of epitaxial graphene formed on SiC film on Si substrate 査読有り
Yu Miyamoto, Hiroyuki Handa, Eiji Saito, Atsushi Konno, Yuzuru Narita, Maki Suemitsu, Hirokazu Fukidome, Takashi Ito, Kanji Yasui, Hideki Nakazawa, Tetsuo Endoh
e-Journal of Surface Science and Nanotechnology 7 107-109 2009年1月10日
ISSN:1348-0391
eISSN:1348-0391
-
Raman-scattering spectroscopy of epitaxial graphene formed on SiC film on Si substrate 査読有り
Yu Miyamoto, Hiroyuki Handa, Eiji Saito, Atsushi Konno, Yuzuru Narita, Maki Suemitsu, Hirokazu Fukidome, Takashi Ito, Kanji Yasui, Hideki Nakazawa, Tetsuo Endoh
e-Journal of Surface Science and Nanotechnology 7 107-109 2009年1月10日
ISSN:1348-0391
eISSN:1348-0391
-
Erratum: Raman-Scattering Spectroscopy of Epitaxial Graphene Formed on SiC Film on Si Substrate [e-J. Surf. Sci. Nanotech. Vol. 7, pp. 107-109 (2009)]
Miyamoto Yu, Handa Hiroyuki, Saito Eiji, Konno Atsushi, Narita Yuzuru, Suemitsu Maki, Fukidome Hirokazu, Ito Takashi, Yasui Kanji, Nakazawa Hideki, Endoh Tetsuo
e-Journal of Surface Science and Nanotechnology 7 699-699 2009年
出版者・発行元:The Surface Science Society of JapanISSN:1348-0391
-
A new vertical MOSFET "Vcombining macron belowertical Lcombining macron belowogic Ccombining macron belowircuit (VLC) MOSFET" suppressing asymmetric characteristics and realizing an ultra compact and robust logic circuit 査読有り
Koji Sakui, Tetsuo Endoh
2009 International Semiconductor Device Research Symposium, ISDRS '09 2009年
DOI: 10.1109/ISDRS.2009.5378136
-
MTJ-Based Nonvolatile Logic-in-Memory Circuit, Future Prospects and Issues 査読有り
Shoun Matsunaga, Jun Hayakawa, Shoji Ikeda, Katsuya Miura, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
DATE: 2009 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION, VOLS 1-3 433-+ 2009年
出版者・発行元:IEEEISSN:1530-1591
-
Scalability of vertical MOSFETs in sub-10nm generation and its mechanism 査読有り
Tetsuo Endoh, Yuto Norifusa
IEICE Transactions on Electronics E92-C (5) 594-597 2009年
出版者・発行元:Institute of Electronics, Information and Communication, Engineers, IEICEDOI: 10.1587/transele.E92.C.594
ISSN:1745-1353 0916-8524
-
Fabrication of a Nonvolatile Lookup-Table Circuit Chip Using Magneto/Semiconductor-Hybrid Structure for an Immediate-Power-Up Field Programmable Gate Array 招待有り 査読有り
Daisuke Suzuki, Masanori Natsui, Shoji Ikeda, Haruhiro Hasegawa, Katsuya Miura, Jun Hayakawa, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
2009 SYMPOSIUM ON VLSI CIRCUITS, DIGEST OF TECHNICAL PAPERS Session8-2 80-+ 2009年
出版者・発行元:JAPAN SOCIETY APPLIED PHYSICS -
Physics of Nano-contact Between Si Quantum Dots and Inversion Layer 査読有り
Y. Sakurai, S. Nomura, Y. Takada, J. Iwata, K. Shiraishi, M. Muraguchi, T. Endoh, Y. Shigeta, M. Ikeda, K. Makihara, S. Miyazaki
ULSI PROCESS INTEGRATION 6 25 (7) 463-469 2009年
出版者・発行元:ELECTROCHEMICAL SOC INCDOI: 10.1149/1.3203984
ISSN:1938-5862
-
“A High Efficient, Low Power, and Compact Charge Pump by Vertical MOSFET’s 査読有り
K. Sakui, T. Endoh
International Semiconductor Device Research Symposium (ISDRS2009) WP9-07-17 2009年
DOI: 10.1109/ISDRS.2009.5378125
-
Fabrication of a Nonvolatile Lookup-Table Circuit Chip Using Magneto/Semiconductor-Hybrid Structure for an Immediate-Power-Up Field Programmable Gate Array 招待有り 査読有り
Daisuke Suzuki, Masanori Natsui, Shoji Ikeda, Haruhiro Hasegawa, Katsuya Miura, Jun Hayakawa, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
2009 SYMPOSIUM ON VLSI CIRCUITS, DIGEST OF TECHNICAL PAPERS 80-+ 2009年
出版者・発行元:JAPAN SOCIETY APPLIED PHYSICS -
Theoretical investigation of quantum dot coupled to a two-dimensional electron system 査読有り
Masakazu Muraguchi, Yukihiro Takada, Yoko Sakurai, Tetsuo Endoh, Shintaro Nomura, Mitsuhisa Ikeda, Katsunori Makihara, Seiichi Miyazaki, Kenji Shiraishi
13th Advanced Heterostructures and Nanostructures Workshop 2008年12月7日
-
Capacitance measurements on quantum dots coupled to a two-dimensional electron system 査読有り
Shintaro Nomura, Yoko Sakurai, Yukihiro Takada, Masakazu Muraguchi, Tetsuo Endoh, Mitsuhisa Ikeda, Katsunori Makihara, Seiichi Miyazaki, Kenji Shiraishi
13th Advanced Heterostructures and Nanostructures Workshop 2008年12月7日
-
Control Theory of CC-MCML for Stable Operation under Fluctuation of the Threshold voltage 招待有り 査読有り
Tetsuo Endoh, Masashi Kamiyanagi
IEICE Trans. Electron, Special Issue on Fundamentals and Applications of Advanced Semiconductor Devices 36 2008年12月
-
High-Gain MCML typ“Novel Concept Dynamic Feedback MCML Technique for High-Speed and e Latch 査読有り
Tetsuo Endoh, Masashi Kamiyanagi
IEICE Trans. Electron, Special Issue on Fundamentals and Applications of Advanced Semiconductor Devices 2008年12月
-
Thin-Film Deposition of Silicon-Incorporated Diamond-Like Carbon by Plasma-Enhanced Chemical Vapor Deposition Using Monomethylsilane as a Silicon Source 査読有り
Hideki Nakazawa, Yuhki Asai, Takeshi Kinoshita, Maki Suemitsu, Toshimi Abe, Kanji Yasui, Takashi Itoh, Tetsuo Endoh, Yuzuru Narita, Atsushi Konno, Yoshiharu Enta, Masao Mashita
JAPANESE JOURNAL OF APPLIED PHYSICS 47 (11) 8491-8497 2008年11月
出版者・発行元:JAPAN SOCIETY APPLIED PHYSICSDOI: 10.1143/JJAP.47.8491
ISSN:0021-4922
eISSN:1347-4065
-
New Fabrication Technology of 50nm Silicon Pillar with Roundness for Vertical MOSFETs 査読有り
E. Nishimura, C. Kato, K. Yatsuda, T. Endoh
21st International Microprocesses and Nanotechnology Conference 29C-7-5 2008年10月27日
-
レーザーアブレーション法によるSi添加DLC膜の原子状水素エッチング 査読有り
中澤日出樹, 杉田寛臣, 遠田義晴, 末光眞希, 安井寛治, 伊藤 隆, 遠藤哲郎, 成田 克, 真下正夫
第22回 ダイヤモンドシンポジウム 2008年10月22日
-
Study of Self-Heating Phenomena in Si Nano Wire MOS Transistor 査読有り
Kousuke Tanaka, Tetsuo Endoh
The 1st Student Organizing International Mini-Conference on Information Electronics Systems 2008年10月16日
-
Temperature Oscillation as a Real-Time Monitoring of the Growth of 3C-SiC on Si Substrate 査読有り
Eiji Saito, Atsushi Konno, Takashi Ito, Kanji Yasui, Hideki Nakazawa, Tetsuo Endoh, Yuzuru Narita, Maki Suemitsu
Applied Surface Science 254 6235-6237 2008年10月1日
DOI: 10.1016/j.apsusc.2008.02.190
-
原子状水素によるSi添加DLC膜のエッチング 査読有り
三浦創史, 中澤日出樹, 杉田寛臣, 遠田義晴, 末光眞希, 安井寛治, 伊藤隆, 遠藤哲郎, 成田克, 真下正夫
応用物理学関係連合講演会 2008年9月3日
-
Fabrication of a nonvolatile full adder based on logic-in-memory architecture using magnetic tunnel junctions 査読有り
Shoun Matsunaga, Jun Hayakawa, Shoji Ikeda, Katsuya Miura, Haruhiro Hasegawa, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
APPLIED PHYSICS EXPRESS 1 (9) 091301-1-091301-3 2008年9月
出版者・発行元:JAPAN SOC APPLIED PHYSICSISSN:1882-0778
-
Fabrication of a Standby-Power-Free TMR-Based Nonvolatile Memory-in-Logic Circuit Chip with a Spin-Injection Write Scheme 査読有り
Shoun Matsunaga, Jun Hayakawa, Shoji Ikeda, Katsuya Miura, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
International Conference on Solid State Devices and Materials C3 (6) 274-275 2008年9月
-
Evaluation technique of SONOS type MOS diode for future nonvolatile memory 査読有り
Fumitaka Iga, Tetsuo Endoh
2008 TOHOKU-SECTION JOINT CONVENTION RECORD OF INSTITUES OF ELECTRICAL AND INFORMATION ENGINEERS JAPAN 2008年8月23日
-
Mechanism of Self-Heating Phenomena in Si Nano Wire MOS Transistor 査読有り
Tetsuo Endoh, Kousuke Tanaka, Yuto Norifusa
2008 TOHOKU-SECTION JOINT CONVENTION RECORD OF INSTITUTES OF ELECTRICAL AND INFOMATION ENGINEERS JAPAN 2008年8月20日
-
Control Theory of CC-MCML for Stable Operation under Fluctuation of the Threshold voltage 査読有り
Masashi Kamiyanagi, Tetsuo Endoh
2008 TOHOKU-SECTION JOINT CONVENTION RECORD OF ELECTRICAL AND INFOMATION ENGINEERS JAPAN 2008年8月20日
-
Study of Self-Heating Phenomena in Si Nano Wire MOS Transistor 査読有り
Tetsuo Endoh, Kousuke Tanaka, Yuto Norifusa
2008 Asia-Pacific Workshop on Fundamentals and Application of Advanced Semiconductor Devices 5A (1) 101-105 2008年7月9日
出版者・発行元:一般社団法人電子情報通信学会ISSN:0913-5685
-
Scalability of Vertical MOSFETs in Sub-10nm generation and its Mechanism 査読有り
Yuto Norifusa, Tetsuo Endoh
2008 Asia-Pacific Workshop on Fundamentals and Application of Advanced Semiconductor Devices 5A (2) 594-597 2008年7月9日
-
Impact of 180nm Current Controlled MCML for realizing stable circuit operations under threshold voltage fluctuations 査読有り
Masashi Kamiyanagi, Yuto Norifusa, Tetsuo Endoh
2008 Asia-Pacific Workshop on Fundamentals and Application of Advanced Semiconductor Devices 7B (2) 233-238 2008年7月9日
-
Novel Concept Dynamic Feedback MCML Technique for High-Speed and High-Gain MCML type D-Flip Flop 査読有り
Tetsuo Endoh, Masashi Kamiyanagi
2008 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices ED2008 (82) 227-231 2008年7月9日
出版者・発行元:一般社団法人電子情報通信学会ISSN:0913-5685
-
メッシュ状金属キャタライザを用いたGaN結晶のエピタキシャル成長 招待有り 査読有り
安井寛治, 末光眞希, 遠藤哲郎, 伊藤隆, 中澤日出樹, 成田克, 高田雅介, 赤羽正志
第5回Cat-CVD研究会 2008年6月20日
-
Hot-mesh CVD法を用いた原料ガスパルス供給によるGaN成長 査読有り
小前泰彰, 齋藤健, 末光眞希, 遠藤哲郎, 伊藤隆, 中澤日出樹, 成田克, 高田雅介, 赤羽正志, 安井寛治
第5回Cat-CVD研究会 2008年6月20日
-
パルスモードホットメッシュCVD法による窒化物半導体のエピタキシャル成長 査読有り
小前泰彰, 齋藤健, 末光眞希, 伊藤隆, 遠藤哲郎, 中澤日出樹, 成田克, 高田雅介, 安井寛治, 赤羽正志
第5回Cat-CVD研究会 2008年6月20日
-
High Performance Multi-Nano-Pillar Type Vertical MOSFET Scaling to 15nm Node 査読有り
Yuto Norifusa, Tetsuo Endoh
2008 International Meeting for Future Electron Devices A-3 27-28 2008年5月22日
-
触媒反応CVD (Cat-CVD) 法によるGaN結晶膜の省資源成長技術 査読有り
安井寛治, 深田祐介, 安部和貴, 黒木雄一郎, 末光眞希, 伊藤 隆, 成田 克, 遠藤哲郎, 中澤日出樹, 高田雅介, 赤羽正志
電子情報通信学会2008年総合大会 エレクトロニクス講演論文集2 (C-6-8) 26-27 2008年3月20日
出版者・発行元:一般社団法人電子情報通信学会 -
Epitaxial growth of SiC on Silicon on Insulator substrates with ultrathin top Si layer using hot-mesh chemical vapor deposition 査読有り
Yusuke Fukada, Kanji Yasui, Yuichiro Kuroki, Maki Suemitsu, Takashi Ito, Tetsuo Endoh, Hideki Nakazawa, Yuzuru Narita, Masasuke Takata, Tadashi Akahane
Jpn.J.Appl.Phys 47 (1) 669-672 2008年1月22日
出版者・発行元:Jpn.J.Appl.PhysDOI: 10.1143/JJAP.47.569
-
Growth of GaN films by hot-mesh chemical vapor deposition using ruthenium-coated tungsten mesh 査読有り
Yusuke Fukada, Kanji Yasui, Yuichiro Kuroki, Maki Suemitsu, Takashi Ito, Tetsuro Endou, Hideki Nakazawa, Yuzuru Narita, Masasuke Takata, Tadashi Akahane
JAPANESE JOURNAL OF APPLIED PHYSICS 47 (1) 573-576 2008年1月
出版者・発行元:JAPAN SOC APPLIED PHYSICSDOI: 10.1143/JJAP.47.573
ISSN:0021-4922
-
Growth of GaN on SiC/Si substrates using AlN buffer layer by hot-mesh CVD 査読有り
Kazuyuki Tamura, Yuichiro Kuroki, Kanji Yasui, Maki Suemitsu, Takashi Ito, Tetsuro Endou, Hideki Nakazawa, Yuzuru Narita, Masasuke Takata, Tadashi Akahane
THIN SOLID FILMS 516 (5) 659-662 2008年1月
出版者・発行元:ELSEVIER SCIENCE SADOI: 10.1016/j.tsf.2007.06.200
ISSN:0040-6090
eISSN:1879-2731
-
TMR-Logic-Based LUT for Quickly Wake-up FPGA 査読有り
Daisuke Suzuki, Tetsuo Endoh, Takahiro Hanyu
2008 51ST MIDWEST SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1 AND 2 326-+ 2008年
出版者・発行元:IEEEDOI: 10.1109/MWSCAS.2008.4616802
ISSN:1548-3746
-
ホットメッシュCVD法によるGaN成長-ルテニウムタングステンメッシュの効果- 査読有り
深田祐介, 安部和貴, 黒木雄一郎, 末光眞希, 伊藤 隆, 成田 克, 遠藤哲郎, 中澤日出樹, 高田雅介, 安井寛治, 赤羽正志
電子情報通信学会技術研究報告 (電子部品・材料研究会) 107 (325) 55-58 2007年11月16日
-
Ru コートWを用いた Hot-mesh CVD法によるGaN膜成長 査読有り
深田祐介, 小前泰彰, 黒木雄一郎, 末光眞希, 伊藤隆, 成田克, 遠藤哲郎, 中澤日出樹, 高田雅介, 安井寛治, 赤羽正志
電子情報通信学会2007ソサイエティ大会 エレクトロニクス講演論文集2 (C-6-9) 17 2007年9月11日
ISSN:1349-1369
-
Mechnism of Asymmetric Temperature Distribution of Si Nano Wire with Self-Heating 査読有り
田中幸介, 遠藤哲郎
平成19年度 電気関連学会東北支部連合大会 講演論文集 1A13 13-13 2007年8月
-
Device Design Technology for 30nm FINFETs with Halo Structure 査読有り
則房勇人, 遠藤哲郎
平成19年度 電気関連学会東北支部連合大会 講演論文集 1A14 14-14 2007年8月
-
The exclusive OR using MOS Current Mode Logic Circuit 査読有り
鈴木一光, 遠藤哲郎
平成19年度 電気関連学会東北支部連合大会 講演論文集 1A15 15-15 2007年8月
-
Device Design Technology for 30nm FINFETs with Halo Structure 査読有り
Yuto Norifusa, Tetsuo Endoh
電気関連学会東北支部連合大会 14 14 2007年8月
-
ルテニウム担持したタングステンHot-mesh CVD法によるGaN成長 査読有り
深田祐介, 小前泰彰, 黒木雄一郎, 末光眞希, 伊藤 隆, 成田克, 遠藤哲郎, 中澤日出樹, 高田雅介, 安井寛治, 赤羽正志
第4回Cat-CVD研究会 53-56 2007年6月29日
-
Decomposition characteristics of NH3 by ruthenium coated tungsten hot-mesh for the growth of nitride semiconductor films 査読有り
Y.Fukada, Y.Kuroki, K.Yasui, M.Suemitsu, T.Ito, T.Endoh, H.Nakazawa, Y.Narita, M.Takata, T.Akahane
2007 Int. Symp. on Organic and Inorganic Electronic Materials and Related Nanotechnologies (EM-NANO2007) 2-36 2007年6月20日
-
Study of self-heating in Si nano structure for floating body-surround gate transistor with high-k dielectric films 査読有り
Tetsuo Endoh, Kousuke Tanaka
Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers 46 (5 B) 3189-3192 2007年5月17日
DOI: 10.1143/JJAP.46.3189
ISSN:0021-4922 1347-4065
-
Physical origin of stress-induced leakage currents in ultra-thin silicon dioxide films 査読有り
Tetsuo Endoh, Kazuyuki Hirose, Kenji Shiraishi
IEICE TRANSACTIONS ON ELECTRONICS E90C (5) 955-961 2007年5月
出版者・発行元:IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENGDOI: 10.1093/ietele/e90-c.5.955
ISSN:0916-8524
eISSN:1745-1353
-
Study of 30-nm double-gate MOSFET with Halo implantation technology using a two-dimensional device simulator 査読有り
Tetsuo Endoh, Yuto Momma
IEICE TRANSACTIONS ON ELECTRONICS E90C (5) 1000-1005 2007年5月
出版者・発行元:IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENGDOI: 10.1093/ietele/e90-c.5.1000
ISSN:0916-8524
eISSN:1745-1353
-
Study of self-heating in si nano structure for floating body-surround gate transistor with high-k dielectric films 査読有り
Tetsuo Endoh, Kousuke Tanaka
JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS 46 (5B) 3189-3192 2007年5月
出版者・発行元:JAPAN SOC APPLIED PHYSICSDOI: 10.1143/JJAP.46.3189
ISSN:0021-4922
-
Study of self-heating in si nano structure for floating body-surround gate transistor with high-k dielectric films 査読有り
Tetsuo Endoh, Kousuke Tanaka
JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS 46 (5B) 3189-3192 2007年5月
出版者・発行元:JAPAN SOC APPLIED PHYSICSDOI: 10.1143/JJAP.46.3189
ISSN:0021-4922
-
Effects of Threshold Voltage Fluctuations on Stability of MOS Current Mode Logic Inverter Circuit 査読有り
Kazuhiro Suzuki, Hyoung-jun Na, Yuzuru Narita, Hideki Nakazawa, Takashi Itoh, Kanji Yasui, Maki Suemitsu, Tetsuo Endoh
IMFEDK2007 technical digest 2007年4月
-
Analysis of the Dependency of Body Thickness on the Performance of the Nano-Scale Vertical MOSFET 査読有り
Yuto Norifusa, Tetsuo Endoh
IMFEDK2007 technical digest 25-26 2007年4月
-
50.Effects of Threshold Voltage Fluctuations on Stability of MOS Current Mode Logic Inverter Circuit 査読有り
Kazuhiro Suzuki, Hyoung-jun Na, Yuzuru Narita, Hideki, Nakazawa, Takashi Itoh, Kanji Yasui, Maki Suemitsu, Tetsuo Endoh
IEEE, IMFEDK2007 technical digest B-7 2007年4月
-
51.Analysis of the Dependency of Body Thickness on the Performance of the Nano-Scale Vertical MOSFET 査読有り
Yuto Norifusa, Tetsuo Endoh
IEEE, IMFEDK2007 technical digest A-3 2007年4月
-
Hydrogen-Controlled Crystallinity of 3C-SiC Film on Si(110) Grown with Monomethylsilane 査読有り
Yuzuru Narita, Atsushi Konno, Hideki Nakazawa, Takashi Itoh, Kanji Yasui, Tetsuo Endoh, Maki Suemitsu
Japanese Journal of Applied Physics 46 (2) L40-L42 2007年2月1日
DOI: 10.1143/JJAP.46.L40
-
ホットメッシュCVD法によるGaN成長---ルテニウムコーティッドタングステンメッシュの効果 査読有り
深田祐介, 安部和貴, 黒木雄一郎, 末光眞希, 伊藤 隆, 成田 克, 遠藤哲郎, 中澤日出樹, 高田雅介, 安井寛治, 赤羽正志
信学技報 2007年
-
Floating gate B4-flash memory technology utilizing novel programming scheme - Highly scalable, efficient and temperature independent programming 査読有り
S. Shukuri, N. Ajika, M. Mihara, Y. Kawajiri, T. Ogura, K. Kobayashi, T. Endoh, M. Nakashima
2007 22ND IEEE NON-VOLATILE SEMICONDUCTOR MEMORY WORKSHOP 30-+ 2007年
出版者・発行元:IEEEDOI: 10.1109/NVSMW.2007.4290568
-
Study of Self-Heating in Si Nano Structure for FB-SGT with High-k Dielectric Films 査読有り
Tetsuo Endoh, Kousuke Tanaka
2006 International Workshop on Dielectric Thin Films for Future ULSI Devices (IWDTF) 115-116 2006年11月
-
Study of Self-Heating in NANO Wire 査読有り
田中幸介, 遠藤哲郎
平成18年度 電気関連学会東北支部連合大会 講演論文集 2A04 22-22 2006年8月
-
Effect of Supply Voltage Fluctuations on Stability of Inverter Ciruit of MOS Current Mode Logic 査読有り
鈴木一光, 羅炯竣, 遠藤哲郎
平成18年度 電気関連学会東北支部連合大会 講演論文集 2A05 23-23 2006年8月
-
Study of 30-nm Double-Gate MOSFET with Halo Implantation Technology 査読有り
Yuto Monma, Tetsuo Endoh
2006 Asia-Pacific Workshop on Fundamental and Application of Advanced Semiconductor Devices (AWAD 2006) 229-232 2006年7月
-
The Guideline of Tolerable Vth Fluctuation for MCML (MOS Current Mode Logic) Inverter Circuit 査読有り
Hyoung-jun NA, Maki SUEMITSU, Tetsuo EDNDO
2006 Asia-Pacific Workshop on Fundamental and Application of Advanced Semiconductor Devices (AWAD 2006) 233-236 2006年7月
-
Physical Origin of Stress-Induced Leakage Currents in Ultra-Thin Silicon Dioxide Films 査読有り
T.Endoh, K.Hirose, K.Shiroisi
2006 Asia-Pacific Workshop on Fundamental and Application of Advanced Semiconductor Devices (AWAD 2006) 106 (137) 271-276 2006年7月
出版者・発行元:一般社団法人電子情報通信学会ISSN:0913-5685
-
Ge dot formation using germane on a monomethylsilane-adsorbed Si(001)-2 x 1 surface 査読有り
Y Narita, T Murata, A Kato, T Endoh, M Suemitsu
THIN SOLID FILMS 508 (1-2) 200-202 2006年6月
出版者・発行元:ELSEVIER SCIENCE SADOI: 10.1016/j.tsf.2005.08.400
ISSN:0040-6090
-
A 60nm NOR Flash Memory Cell Technology Utilizing Back Bias Assisted Band-to-Band Tunneling Induced Hot-Electron Injection (B4-Flash) 査読有り
S.Shukuri, N.Ajika, M.Mihara, K.Kobayashi, T. Endoh, M.Nakashima
2006 Symposium on VLSI Technology Digest of Technical Papers 20-21 2006年5月
-
次世代シリコン集積回路に求められる新構造デバイス技術 招待有り 査読有り
遠藤哲郎
21世紀COE「原子論的生産技術の創出拠点」ワークショップ 次世代半導体デバイス開発における計算科学の現状と将来 2006年5月
-
Study of Effect of Halo Implantation on Nano-Scale Double Gate MOSFET 査読有り
Yuto Momma, Tetsuo Endoh
International Symposium on Bio- and Nano- Electronics Abstracts P-37 119-120 2006年4月
-
Study of Stability on MCML (MOS Current Mode Logic) Inverter Circuit to Threshold Voltage Fluctuations Caused in Future Nanoscale Si-MOS Process Generation 査読有り
H.-j. Na, M. Suemitsu, T. Endoh
International Symposium on Bio- and Nano-Electronics Abstracts P-39 123-124 2006年4月
-
次世代シリコン集積回路に求められる新構造デバイス技術 招待有り 査読有り
遠藤哲郎, 大塚文雄, 奈良安雄, 安平光雄, 有門経敏
2006年春季第53回応用物理学関係連合講演会「超高速・低消費電力トランジスタを実現する結晶材料・プロセス・デバイス技術」 ZF-2 24-24 2006年4月
-
MCMLインバーター回路の安定性に対するしきい値ばらつきの影響に関する検討
羅炯竣, 田中幸介, 門間優太, 末光眞希, 遠藤哲郎
2006年春季第53回応用物理学関係連合講演会 23a-X-5 2006年4月
-
100nmゲート長30nmボディSiのダブルゲートMOSFETにおけるhaloI/Iの効果に関する検討
門間優太, 遠藤哲郎
2006年春季第53回応用物理学関係連合講演会 25p-X-16 2006年4月
-
Low-temperature heteroepitaxial growth of 3C-SiC(111) on Si(110) substrate using monomethylsilane 査読有り
Atsushi Konno, Yuzuru Narita, Takashi Ito, Kanji Yasui, Hideki Nakazawa, Tetsuo Endoh, Maki Suemitsu
ECS Transactions 3 (5) 449-455 2006年
DOI: 10.1149/1.2357236
ISSN:1938-5862 1938-6737
-
Study of Effect of Halo Implantation on 30nm Ultra Thin Body Si Double-Gate MOSFET with 100nm Gate Length 査読有り
Yuto Momma, Tetsuo Endoh
平成17年度 電気関連学会東北支部連合大会 講演論文集 2A17 30-30 2005年8月
-
Effect of Threshold Voltage Fluctuations on Stability of Inverter Circuit of MOS Current Mode Logic 査読有り
H.-j. Na, K. Tanaka, Y. Momma, M. Suemitsu, T. Endoh
平成17年度 電気関係学会東北支部連合大会 講演論文集 2A18 31-31 2005年8月
-
10nmCMOSに向けた40nmデザインルールによる学独連携相乗りマスク製作 査読有り
山田啓作, 知京豊裕, 遠藤哲郎, 岩井洋
シンポジューム 「来るべきナノCMOS時代に向けての挑戦とその課題」(IEEE、EDS,電気学会、電子情報通信学会、JST,応用物理学会共催) 2005年4月
-
Ge-dot formation on Si(111)-7 x 7 surface with C predeposition using monomethylsilane 査読有り
Y Narita, M Sakai, T Murata, T Endoh, M Suemitsu
JAPANESE JOURNAL OF APPLIED PHYSICS PART 2-LETTERS & EXPRESS LETTERS 44 (1-7) L123-L125 2005年
出版者・発行元:INST PURE APPLIED PHYSICSDOI: 10.1143/JJAP.44.L123
ISSN:0021-4922
-
High-performance buried-gate surrounding gate transistor for future three-dimensional devices 査読有り
M Iwai, Y Yamamoto, R Nishi, H Sakuraba, T Endoh, F Masuoka
JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS 43 (10) 6904-6906 2004年10月
出版者・発行元:INST PURE APPLIED PHYSICSDOI: 10.1143/JJAP.43.6904
ISSN:0021-4922
-
An analysis of program and erase mechanisms for Floating Channel Type Surrounding Gate Transistor Flash memory cells 査読有り
M Hioki, H Sakuraba, T Endoh, F Masuoka
IEICE TRANSACTIONS ON ELECTRONICS E87C (9) 1628-1635 2004年9月
出版者・発行元:IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENGISSN:0916-8524
eISSN:1745-1353
-
New Three-Dimensional High-Density Stacked-Surrounding Gate Transistor (S-SGT) flash memory architecture using self-aligned interconnection fabrication technology without photolithography process for tera-bits and beyond 査読有り
H Sakuraba, K Kinoshita, T Tanigami, T Yokoyama, S Horii, M Saitoh, K Sakiyama, T Endoh, F Masuoka
JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS 43 (4B) 2217-2219 2004年4月
出版者・発行元:INST PURE APPLIED PHYSICSISSN:0021-4922
-
Novel NAND DRAM with surrounding gate transistor (SGT)-type gain cell 査読有り
H Nakamura, T Endoh, H Sakuraba, F Masuoka
ELECTRONICS AND COMMUNICATIONS IN JAPAN PART II-ELECTRONICS 87 (7) 1-8 2004年
出版者・発行元:SCRIPTA TECHNICA-JOHN WILEY & SONSDOI: 10.1002/ecjb.10198
ISSN:8756-663X
-
Pillar Diameter Dependence of the Carrier Response Time in a Surrounding Gate type MOS Capacitor 査読有り
M. Iwai, H. Ohta, M. Suzuki, H. Sakuraba, T. Endoh, F. Masuoka
The Electrochemical Society (204th) Abs#144 2003年10月
-
High Performance Buried Gate Transistor (BG-SGT) for Future Three-Dimensional Devices 査読有り
M. Iwai, Y. Yamamoto, R. Nishi, H. Sakuraba, T. Endoh, F. Masuoka
Extended Abstracts of the 2003 International Conference on Solid State Devices and Materials 630-631 2003年9月
-
New Three Dimensional High Density S-SGT Flash Memory Architecture using Self-Aligned Interconnection Fabricating Technology without Photo Lithography Process for Tera Bits and Beyond 査読有り
H. Sakuraba, K. Kinoshita, T. Tanigami, T. Yokoyama, S. Horii, M. Saitoh, K. Sakiyama, T. Endoh, F. Masuoka
Extended Abstracts of the 2003 International Conference on Solid State Devices and Materials 642-643 2003年9月
-
Surrounding Gate Transistor (SGT)型ゲインセルを用いた新しいNAND DRAM 査読有り
中村広記, 遠藤哲郎, 桜庭弘, 舛岡富士雄
電子情報通信学会論文誌C Vol.J86-C (No.8) 944-951 2003年8月
-
Reduction of Pass-Gate Leakage by Silicon Thickness Thinning in Double-Gate MOSFETs 査読有り
W. Sakamoto, T. Endoh, H. Sakuraba, F. Masuoka
Electrochemical Society Proceedings Vol. 2003-05 331-336 2003年4月
-
Novel ultrahigh-density flash memory with a stacked-surrounding gate transistor (S-SGT) structured cell 査読有り
T Endoh, K Kinoshita, T Tanigami, Y Wada, K Sato, K Yamada, T Yokoyama, N Takeuchi, K Tanaka, N Awaya, K Sakiyama, F Masuoka
IEEE TRANSACTIONS ON ELECTRON DEVICES 50 (4) 945-951 2003年4月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCISSN:0018-9383
-
Buried Gate型SGTフラッシュメモリ 査読有り
岩井信, 桜庭弘, 遠藤哲郎, 舛岡富士雄
電子情報通信学会総合大会講演論文集, エレクトロニクス2 C-11-4 64-64 2003年3月
-
Surrounding Gate Transister (SGT) DRAMセルのソフトエラー現象の解析 査読有り
松岡史宜, 日置雅和, 桜庭弘, 遠藤哲郎, 舛岡富士雄
電子情報通信学会総合大会講演論文集, エレクトロニクス2 C-11-3 (2) 63-63 2003年3月
出版者・発行元:一般社団法人電子情報通信学会 -
3次元構造型Surrounding Gate Transistor (SGT)の下部拡散層形状の解析方法の提案 査読有り
山本安衛, 日置雅和, 遠藤哲郎, 桜庭弘, 舛岡富士雄
電子情報通信学会総合大会講演論文集, エレクトロニクス2 C-11-8 (2) 68-68 2003年3月
出版者・発行元:一般社団法人電子情報通信学会 -
New Stacked-Surrounding Gate Transistor (S-SGT) Structured Cell For Future Ultra High Density Flash Memory 招待有り 査読有り
T. Endoh, K. Kinoshita, T. Tanigami, Y. Wada, K. Sato, K. Yamada, T. Yokoyama, N. Takeuchi, K. Tanaka, N. Awaya, K. Sakiyama, F. Masuoka
International Semiconductor Technology Conference ISTC 2002 Meeting Abstracts and Program Abstract NO.39 2002年9月
-
Double Gate-SOI (DG-SOI) MOSFET のソフトエラーのα粒子入射軌道依存性
松岡史宜, 日置雅和, 桜庭弘, 遠藤哲郎, 舛岡富士雄
電子情報通信学会2002年エレクトロニクスソサイエティ大会講演論文集2 C-11-4 61-61 2002年9月
-
Si柱側壁表面の平滑化
岩井信, 太田人嗣, 鈴木正彦, 桜庭弘, 遠藤哲郎, 舛岡富士雄
電子情報通信学会2002年エレクトロニクスソサイエティ大会講演論文集2 C-11-5 62-62 2002年9月
-
Stacked - SGT DRAMを用いた2.4F2メモリセル技術
鈴木正彦, 岩井信, 桜庭弘, 遠藤哲郎, 舛岡富士雄
電子情報通信学会2002年エレクトロニクスソサイエティ大会講演論文集2 C-11-6 63-63 2002年9月
-
ULSI用超低消費電力CMOS降圧回路 査読有り
須永和久, 遠藤哲郎, 桜庭弘, 舛岡富士雄
電子情報通信学会集積回路研究専門委員会平成14年3月研究会, 信学技報 VLD2001-156 (ICD2001-231) 73-78 2002年3月
-
高電流利用効率を実現したCMOS降圧回路の直流特性 査読有り
須永和久, 遠藤哲郎, 桜庭弘, 舛岡富士雄
電子情報通信学会2002年総合大会講演論文集 エレクトロニクス2 C-11-1 73-73 2002年3月
-
Surrounding Gate Transistorにおける基板バイアス効果の拡散層形状依存性 査読有り
西亮輔, 鈴木正彦, 桜庭弘, 遠藤哲郎, 舛岡富士雄
電子情報通信学会2002年総合大会講演論文集 エレクトロニクス2 C-11-3 (2) 75-75 2002年3月
出版者・発行元:一般社団法人電子情報通信学会 -
Multi-Pillar Surrounding Gate型MOSキャパシタの試作プロセス 査読有り
岩井信, 太田人嗣, 鈴木正彦, 桜庭弘, 遠藤哲郎, 舛岡富士雄
電子情報通信学会2002年総合大会講演論文集 エレクトロニクス2 C-11-4 (2) 76-76 2002年3月
出版者・発行元:一般社団法人電子情報通信学会 -
Double Gate-SOI(DG-SOI)MOSFETにおけるソフトエラー現象の解析 査読有り
松岡史宜, 日置雅和, 桜庭弘, 遠藤哲郎, 舛岡富士雄
電子情報通信学会2002年総合大会講演論文集 エレクトロニクス2 C-11-5 (2) 77-77 2002年3月
出版者・発行元:一般社団法人電子情報通信学会 -
Floating Channel type SGT(FC-SGT)フラッシュメモリにおけるカップリング比の柱半径依存性 査読有り
日置雅和, 遠藤哲郎, マルクス レンスキ, 桜庭弘, 舛岡富士雄
電子情報通信学会2002年総合大会講演論文集 エレクトロニクス2 C-11-6 (2) 78-78 2002年3月
出版者・発行元:一般社団法人電子情報通信学会 -
A Stacked-Surrounding Gate Transistor (S-SGT) Structured Cellを用いた新しい高密度フラッシュメモリ 査読有り
木下和司, 遠藤哲郎, 谷上拓司, 和田昌久, 佐藤功太, 山田和也, 横山敬, 竹内昇, 田中研一, 栗屋信義, 﨑山恵三, 舛岡富士雄
電子情報通信学会シリコン材料・デバイス研究会,信学技報 SDM2001-219 (573) 43-48 2002年1月
出版者・発行元:一般社団法人電子情報通信学会ISSN:0913-5685
-
New three dimensional (3D) memory array architecture for future ultra high density DRAM (invited) 招待有り 査読有り
F. Masuoka, T. Endoh, H. Sakuraba
ICCDCS 2002 - 4th IEEE International Caracas Conference on Devices, Circuits and Systems C015-1-C015-5 2002年
出版者・発行元:IEEE Computer SocietyDOI: 10.1109/ICCDCS.2002.1004003
-
Influence of silicon wafer loading ambient on chemical composition and thickness uniformity of sub-5-nm-thick oxide films 査読有り
T Endoh, Y Kimura, M Lenski, F Masuoka
JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS 40 (12) 7023-7028 2001年12月
出版者・発行元:INST PURE APPLIED PHYSICSDOI: 10.1143/JJAP.40.7023
ISSN:0021-4922
-
SGTの基板バイアス効果を抑制させる拡散層形状に関する解析 査読有り
西亮輔, 鈴木正彦, 桜庭弘, 遠藤哲郎, 舛岡富士雄
電子情報通信学会論文誌C Vol. J84-C (No.10) 1018-1019 2001年10月
出版者・発行元:一般社団法人電子情報通信学会ISSN:1345-2827
-
超低消費電力降圧回路の試作
須永和久, 遠藤哲郎, 桜庭弘, 舛岡富士雄
電子情報通信学会2001年エレクトロニクスソサイエティ大会講演論文集2 C-11-2 56-56 2001年9月
-
Floating Channel type SGT (FC-SGT) フラッシュメモリにおける書込・消去特性のフローティングゲート膜厚依存性
日置雅和, 遠藤哲郎, マルクスレンスキ, 桜庭弘, 舛岡富士雄
電子情報通信学会2001年エレクトロニクスソサイエティ大会講演論文集2 C-11-4 58-58 2001年9月
-
0.4μmMOSプロセス技術を用いたMulti-Pillar Surrounding Gate 型 MOS キャパシタ
岩井信, 太田人嗣, 鈴木正彦, 桜庭弘, 遠藤哲郎, 舛岡富士雄
電子情報通信学会2001年エレクトロニクスソサイエティ大会講演論文集2 C-11-5 59-59 2001年9月
-
Surrounding Gate Transistor における基板バイアス効果を抑制するためのソース・ドレインエンジニアリング
西亮輔, 鈴木正彦, 桜庭弘, 遠藤哲郎, 舛岡富士雄
電子情報通信学会2001年エレクトロニクスソサイエティ大会講演論文集2 C-11-6 60-60 2001年9月
-
2.4F(2) memory cell technology with stacked-surrounding gate transistor (S-SGT) DRAM 査読有り
T Endoh, M Suzuki, H Sakuraba, F Masuoka
IEEE TRANSACTIONS ON ELECTRON DEVICES 48 (8) 1599-1603 2001年8月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCDOI: 10.1109/16.936567
ISSN:0018-9383
-
Influence of Silicon Wafer Loading Conditions on Thickness Uniformity of Sub-5nm-Thick Oxide Films 査読有り
M. Lenski, Y. Kimura, M. Iwai, H. Sakuraba, T. Endoh, F. Masuoka
2001 Asia-Pacific Workshop on Fundamental and Application of Advanced Semiconductor Devices (AWAD 2001) 101 (163) 25-28 2001年7月
出版者・発行元:電子情報通信学会ISSN:0913-5685
-
Multi-Pillar Surrounding Gate Transistor (M-SGT) type MOS Capacitor Using 0.4μm MOS Technology 査読有り
M. Iwai, H. Ohta, M. Suzuki, H. Sakuraba, T. Endoh, F. Masuoka
2001 Asia-Pacific Workshop on Fundamental and Application of Advanced Semiconductor Devices (AWAD 2001) 257-261 2001年7月
-
Novel S/D Engineering of Surrounding Gate Transistor (SGT) for Suppressing Substrate Bias Effect 査読有り
R. Nishi, M. Suzuki, H. Sakuraba, T. Endoh, F. Masuoka
2001 Asia-Pacific Workshop on Fundamental and Application of Advanced Semiconductor Devices (AWAD 2001) 101 (161) 263-266 2001年7月
出版者・発行元:一般社団法人電子情報通信学会ISSN:0913-5685
-
0.18μm CMOS 10-Gb/s Multiplexer/Demultiplexer ICs Using Current Mode Logic with Tolerance to Threshold Voltage Fluctuation 査読有り
Akira Tanabe, Masato Umetani, Ikuo Fujiwara, Takayuki Ogura, Kotaro Kataoka, Masao Okihara, Hiroshi Sakuraba, Tetsuo Endoh, Fujio Masuoka
IEEE JOURNAL OF SOLID-STATE CIRCUITS 36 (6) 988-996 2001年6月
出版者・発行元:NoneDOI: 10.1109/4.924861
ISSN:0018-9200
-
Technology Trend of Flash Memory 招待有り 査読有り
F. Masuoka, T. Endoh
Proceedings of the ECS 1st International Conference on Semiconductor Technology (ISTC 2001) 1-10 2001年5月
-
Cell Array Design of Stacked-Surrounding Gate Transistor (S-SGT) DRAM for Small Array Noise and Ultra-High Density DRAM 査読有り
T. Endoh, H. Nakamura, H. Sakuraba, F. Masuoka
Proceedings of the ECS 1st International Conference on Semiconductor Technology (ISTC 2001) 23-31 2001年5月
-
Influence of Wafer Loading Atmosphere upon Chemical Structure of Sub-5nm Oxide Films 査読有り
T. Endoh, Y. Kimura, M. Lenski, H. Sakuraba, F. Masuoka
Proceedings of the ECS 1st International Conference on Semiconductor Technology (ISTC 2001) 196-200 2001年5月
-
New Body Contact Type Pass-Transistor 査読有り
遠藤哲郎, 船木寿彦, 中村広記, 桜庭弘, 舛岡富士雄
THE TRANSACTIONS OF THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS C J84-C (3) 192-198 2001年3月
出版者・発行元:一般社団法人電子情報通信学会ISSN:1345-2827
-
高集積化を実現するFloating Channel type SGT (FC-SGT) Flashメモリセルのビットライン形成法 査読有り
岩井信, 遠藤哲郎, 桜庭弘, 舛岡富士雄
電子情報通信学会2001年総合大会講演論文集 エレクトロニクス2 C-11-5 (2) 87-87 2001年3月
出版者・発行元:一般社団法人電子情報通信学会 -
Floating Channel type SGT (FC-SGT)フラッシュメモリにおける書込・消去特性の柱半径依存性 査読有り
日置雅和, 遠藤哲郎, レンスキマルクス, 桜庭弘, 舛岡富士雄
電子情報通信学会2001年総合大会講演論文集 エレクトロニクス2 C-11-6 (2) 88-88 2001年3月
出版者・発行元:一般社団法人電子情報通信学会 -
高信号を実現する三次元階層型メモリアレイ技術を用いたStacked-SGT DRAM 査読有り
中村広記, 遠藤哲郎, 桜庭弘, 舛岡富士雄
電子情報通信学会2001年総合大会講演論文集 エレクトロニクス2 C-11-7 (2) 89-89 2001年3月
出版者・発行元:一般社団法人電子情報通信学会 -
超低消費電力を指向したオンチップ用CMOS降圧回路 査読有り
須永和久, 遠藤哲郎, 桜庭弘, 舛岡富士雄
電子情報通信学会2001年総合大会講演論文集 エレクトロニクス2 C-11-9 91-91 2001年3月
-
Double Gate MOSFETの新しい構造と試作プロセスの提案 査読有り
山下弘臣, 遠藤哲郎, 岩井信, 桜庭弘, 舛岡富士雄
電子情報通信学会2001年総合大会講演論文集 エレクトロニクス2 C-11-10 (2) 92-92 2001年3月
出版者・発行元:一般社団法人電子情報通信学会 -
Full Adder Using New Body Contact Type Pass-Transistor 査読有り
遠藤哲郎, 中村広記, 船木寿彦, 桜庭弘, 舛岡富士雄
THE TRANSACTIONS OF THE INSTITUTE OF ELECTRONICS, INFORMATION AND COMMUNICATION ENGINEERS C J84-C (2) 158-159 2001年2月
出版者・発行元:一般社団法人電子情報通信学会ISSN:1345-2827
-
An on-chip 96.5 % current efficiency CMOS linear regulator 査読有り
K Sunaga, T Endoh, H Sakuraba, F Masuoka
PROCEEDINGS OF THE ASP-DAC 2001: ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE 2001 297-301 2001年
出版者・発行元:IEEE COMPUTER SOCDOI: 10.1109/ASPDAC.2001.913322
-
A quantitative analysis of stress-induced leakage currents in ultra-thin silicon dioxide films 招待有り 査読有り
T Endoh
SOLID-STATE AND INTEGRATED-CIRCUIT TECHNOLOGY, VOLS 1 AND 2, PROCEEDINGS 958-963 2001年
出版者・発行元:IEEEDOI: 10.1109/ICSICT.2001.982054
-
Novel ultra high density flash memory with a stacked-surrounding gate transistor (S-SGT) structured cell 査読有り
Tetsuo Endoh, Kazushi Kinoshita, Takuji Tanigami, Yoshihisa Wada, Kota Sato, Kazuya Yamada, Takashi Yokoyama, Noburo Takeuchi, Kenichi Tanaka, Nobuyoshi Awaya, Keizou Sakiyama, Fujio Masuoka
Technical Digest - International Electron Devices Meeting 33-36 2001年
ISSN:0163-1918
-
An on-chip 96.5% current efficiency CMOS linear regulator using a flexible control technique of output current 査読有り
T Endoh, K Sunaga, H Sakuraba, F Masuoka
IEEE JOURNAL OF SOLID-STATE CIRCUITS 36 (1) 34-39 2001年1月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCDOI: 10.1109/4.896226
ISSN:0018-9200
-
Analytical modeling of stress-induced leakage currents in 5.1-9.6-nm-thick silicon-dioxide films based on two-step inelastic trap-assisted tunneling 査読有り
Markus Lenski, Tetsuo Endoh, Fujio Masuoka
JOURNAL OF APPLIED PHYSICS 88 (9) 5238-5245 2000年11月
出版者・発行元:NoneDOI: 10.1063/1.1312842
ISSN:0021-8979
-
低Bit Line 容量を実現する三次元階層型メモリアレイ技術を用いたStacked-SGT DRAM
中村広記, 遠藤哲郎, 桜庭弘, 舛岡富士雄
電子情報通信学会2000年エレクトロニクスソサイエティ大会講演論文集2 C-11-1 (2) 69-69 2000年9月
出版者・発行元:一般社団法人電子情報通信学会 -
Stacked-SGT DRAM のセルデザインの提案
鈴木正彦, 遠藤哲郎, 桜庭弘, 舛岡富士雄
電子情報通信学会2000年エレクトロニクスソサイエティ大会講演論文集2 C-11-2 (2) 70-70 2000年9月
出版者・発行元:一般社団法人電子情報通信学会 -
Surrounding Gate Transistor (SGT) DRAM のソフトエラー耐性に関する考案
松岡史宜, 遠藤哲郎, 桜庭弘, 舛岡富士雄
電子情報通信学会2000年エレクトロニクスソサイエティ大会講演論文集2 C-11-3 (2) 71-71 2000年9月
出版者・発行元:一般社団法人電子情報通信学会 -
Floating Channel type SGT (FC-SGT) Flash メモリセルにおけるフローティングチャネル形成プロセス
岩井信, 遠藤哲郎, 桜庭弘, 舛岡富士雄
電子情報通信学会2000年エレクトロニクスソサイエティ大会講演論文集2 C-11-6 (2) 74-74 2000年9月
出版者・発行元:一般社団法人電子情報通信学会 -
Floating Channel type SGT (FC-SGT) フラッシュメモリにおける消去動作の解析
日置雅和, 遠藤哲郎, レンスキマルクス, 桜庭弘, 舛岡富士雄
電子情報通信学会2000年エレクトロニクスソサイエティ大会講演論文集2 C-11-7 (2) 75-75 2000年9月
出版者・発行元:一般社団法人電子情報通信学会 -
部分空乏型および完全空乏型SOI MOSFET の過渡応答
坂本渉, 遠藤哲郎, 桜庭弘, 舛岡富士雄
電子情報通信学会2000年エレクトロニクスソサイエティ大会講演論文集2 C-11-9 (2) 77-77 2000年9月
出版者・発行元:一般社団法人電子情報通信学会 -
Multi-Pillar Surrounding Gate Transistorの高速動作に関する解析
太田人嗣, 遠藤哲郎, 桜庭弘, 舛岡富士雄
電子情報通信学会2000年エレクトロニクスソサイエティ大会講演論文集2 C-11-11 79-79 2000年9月
-
GHz 動作における拡散層-拡散層間クロストークの解析
三浦雅和, 遠藤哲郎, 桜庭弘, 舛岡富士雄
電子情報通信学会2000年エレクトロニクスソサイエティ大会講演論文集2 C-11-12 80-80 2000年9月
-
超高電流利用率を実現したULSI用降圧回路
須永和久, 遠藤哲郎, 桜庭弘, 舛岡富士雄
電子情報通信学会2000年エレクトロニクスソサイエティ大会講演論文集2 C-12-9 89-89 2000年9月
-
FLASHメモリ技術動向と将来 招待有り 査読有り
舛岡富士雄, 遠藤哲郎
電子情報通信学会集積回路研究会, 信学技報 ICD2000-12 19-24 2000年6月
-
0.2μm nMOSFET using EB Exposure for All Lithography Processes 査読有り
I. Fujiwara, H. Sakuraba, M. Umetani, T. Ogura, K. Kataoka, A. Tanabe, T. Endoh, F. Masuoka
PROCEEDINGS 2000 22nd INTERNATIONAL CONFERENCE ON MICROELECTRONICS 2 439-442 2000年5月
-
New Three Dimensional (3D) Memory Array Architecture For Future Ultra High Density DRAM 査読有り
T. Endoh, H. Sakuraba, K. Shinmei, F. Masuoka
PROCEEDINGS 2000 22nd INTERNATIONAL CONFERENCE ON MICROELECTRONICS Vol. 2 447-450 2000年5月
-
The 1.44F2 Memory Cell Technology with the Stacked-Surrounding Gate Transistor (S-SGT) DRAM 査読有り
T. Endoh, H. Sakuraba, K. Shinmei, F. Masuoka
PROCEEDINGS 2000 22nd INTERNATIONAL CONFERENCE ON MICROELECTRONICS Vol. 2 451-454 2000年5月
-
均一な厚さの極薄シリコン酸化膜を形成するための酸化炉搬入方法 査読有り
木村康隆, 遠藤哲郎, レンスキマルクス, 舛岡富士雄
電子情報通信学会2000年総合大会講演論文集 エレクトロニクス2 C-11-3 (2) 82-82 2000年3月
出版者・発行元:一般社団法人電子情報通信学会 -
Floating Channel type SGT (FC-SGT) Flash メモリの試作プロセスの提案 査読有り
岩井信, 遠藤哲郎, 桜庭弘, 舛岡富士雄
電子情報通信学会2000年総合大会講演論文集 エレクトロニクス2 C-11-8 (2) 87-87 2000年3月
出版者・発行元:一般社団法人電子情報通信学会 -
Floating Channel type (FC-SGT) Flash メモリにおける書込・消去特性の数値的解析 査読有り
日置雅和, 遠藤哲郎, レンスキマルクス, 桜庭弘, 舛岡富士雄
電子情報通信学会2000年総合大会講演論文集 エレクトロニクス2 C-11-9 (2) 88-88 2000年3月
出版者・発行元:一般社団法人電子情報通信学会 -
高速動作・低消費電力 M-SGT 試作プロセスの提案 査読有り
太田人嗣, 遠藤哲郎, 桜庭弘, 舛岡富士雄
電子情報通信学会2000年総合大会講演論文集 エレクトロニクス2 C-11-11 90-90 2000年3月
-
Stacked-SGT DRAM を用いた2.4F2メモリセル技術 査読有り
鈴木正彦, 遠藤哲郎, 桜庭弘, 舛岡富士雄
電子情報通信学会2000年総合大会講演論文集 エレクトロニクス2 C-11-12 91-91 2000年3月
-
理想的なSファクタを実現する完全空乏型 Double-Gate SOI MOSFET のスケーリング理論 査読有り
森雅朋, 遠藤哲郎, 桜庭弘, 舛岡富士雄
電子情報通信学会2000年総合大会講演論文集 エレクトロニクス2 C-11-15 (2) 94-94 2000年3月
出版者・発行元:一般社団法人電子情報通信学会 -
超低消費電力を指向したULSI用降圧回路 査読有り
須永和久, 遠藤哲郎, 桜庭弘, 舛岡富士雄
電子情報通信学会2000年総合大会講演論文集 エレクトロニクス2 C-12-20 115-115 2000年3月
-
三次元階層型メモリアレイ技術を用いたStacked-SGT DRAM のアレイ構成及び読み出し方法 査読有り
中村広記, 遠藤哲郎, 桜庭弘, 舛岡富士雄
電子情報通信学会2000年総合大会講演論文集 エレクトロニクス2 C-12-73 (2) 168-168 2000年3月
出版者・発行元:一般社団法人電子情報通信学会 -
A 10 Gb/s Demultiplexer IC in 0.18μm CMOS using Current Mode Logic with Tolerance to the Threshold Voltage Fluctuation 査読有り
A. Tanabe, M. Umetani, I. Fujiwara, T. Ogura, K. Kataoka, M. Okihara, H. Sakuraba, T. Endoh, F. Masuoka
2000 IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers 62-63 2000年2月
-
Stacked-SGT DRAMを用いた2.4F2メモリセル技術 査読有り
鈴木正彦, 遠藤哲郎, 桜庭弘, 舛岡富士雄
電子情報通信学会論文誌C Vol. J83-C (No.1) 92-93 2000年1月
-
The 2.4F(2) memory cell technology with stacked-surrounding gate transistor (S-SGT) DRAM 査読有り
M Suzuki, T Endoh, H Sakuraba, F Masuoka
2000 INTERNATIONAL CONFERENCE ON MODELING AND SIMULATION OF MICROSYSTEMS, TECHNICAL PROCEEDINGS 388-391 2000年
出版者・発行元:COMPUTATIONAL PUBLICATIONS -
An analysis of program and erase operation for FC-SGT flash memory cells 査読有り
M Hioki, T Endoh, H Sakuraba, M Lenski, F Masuoka
2000 INTERNATIONAL CONFERENCE ON SIMULATION OF SEMICONDUCTOR PROCESSES AND DEVICES 116-118 2000年
出版者・発行元:IEEE -
A high signal swing pass-transistor logic using surrounding gate transistor 査読有り
T Endoh, T Funaki, H Sakuraba, F Masuoka
2000 INTERNATIONAL CONFERENCE ON SIMULATION OF SEMICONDUCTOR PROCESSES AND DEVICES 273-275 2000年
出版者・発行元:IEEE -
微細MOSFETデバイスの試作に用いる電子線描画に関する研究 査読有り
片岡耕太郎, 藤原郁夫, 林田茂樹, 小倉孝之, 梅谷正人, 田辺昭, 桜庭弘, 遠藤哲郎, 舛岡富士雄
電子情報通信学会シリコン材料・デバイス研究会, 信学技報 SDM99-160 (457) 35-42 1999年11月
出版者・発行元:一般社団法人電子情報通信学会ISSN:0913-5685
-
Floating Channel Type SGT Flash Memory 査読有り
T. Endoh, M. Hioki, H. Sakuraba, M. Lenski, F. Masuoka
Meeting Abstracts The 1999 Joint International Meeting (196th Meeting of The Electrochemical Society, 1999 Fall Meeting of The Electrochemical Society of Japan with technical cosponsorship of the Japan Society of Applied Physics) Vol.99-2 (Abstract No.1323) 1999年10月
-
Loadlock Furnace Application to Ultrathin Oxide Films 査読有り
H. Miya, M. Izumi, K. Yuasa, S. Konagata, Y. Kimura, L. Markus, T. Endoh, F. Masuoka, T. Takahagi
7th International Conference on Advanced Thermal Processing of Semiconductors - RTP’99 244-251 1999年9月
-
新しい基板コンタクト型パストランジスタの提案
船木寿彦, 遠藤哲郎, 桜庭弘, 舛岡富士雄
電子情報通信学会1999年エレクトロニクスソサイエティ大会講演論文集2 C-11-2 65-65 1999年9月
-
三次元階層型メモリアレイ技術を用いたStacked-SGT DRAM
鈴木正彦, 遠藤哲郎, 桜庭弘, 舛岡富士雄
電子情報通信学会1999年エレクトロニクスソサイエティ大会講演論文集2 C-11-3 66-66 1999年9月
-
Floating Channel type SGT (FC-SGT) Flashメモリにおける書込・消去動作の解析
日置雅和, 遠藤哲郎, レンスキマルクス, 桜庭弘, 舛岡富士雄
電子情報通信学会1999年エレクトロニクスソサイエティ大会講演論文集2 C-11-4 67-67 1999年9月
-
完全空乏型Double-Gate SOI MOSFETの短チャネル効果の解析及びスケーリング理論の提案
森雅朋, 遠藤哲郎, 桜庭弘, 舛岡富士雄
電子情報通信学会1999年エレクトロニクスソサイエティ大会講演論文集2 C-11-6 69-69 1999年9月
-
超低消費電力を指向したULSI用降圧回路の試作
須永和久, 遠藤哲郎, 桜庭弘, 舛岡富士雄
電子情報通信学会1999年エレクトロニクスソサイエティ大会講演論文集2 C-12-26 96-96 1999年9月
-
Double Side Quasi-SOI MOSFET 査読有り
小倉孝之, 遠藤哲郎, 桜庭弘, 舛岡富士雄
電子情報通信学会C-II Vol. J82-C-II (No.8) 464-465 1999年8月
出版者・発行元:一般社団法人電子情報通信学会ISSN:0915-1893
-
A quantitative analysis of stress-induced leakage currents and extraction of trap properties in 6.8 nm ultrathin silicon dioxide films 査読有り
T Endoh, T Chiba, H Sakuraba, M Lenski, F Masuoka
JOURNAL OF APPLIED PHYSICS 86 (4) 2095-2099 1999年8月
出版者・発行元:AMER INST PHYSICSDOI: 10.1063/1.371015
ISSN:0021-8979
-
New three-dimensional memory array architecture for future ultrahigh-density DRAM 査読有り
T Endoh, K Shinmei, H Sakuraba, F Masuoka
IEEE JOURNAL OF SOLID-STATE CIRCUITS 34 (4) 476-483 1999年4月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCDOI: 10.1109/4.753680
ISSN:0018-9200
-
Floating Channel type SGT Flashメモリ 査読有り
遠藤哲郎, 日置雅和, 桜庭 弘, 舛岡富士雄
電子情報通信学会論文誌C-II Vol. J82-C-II (No.3) 126-127 1999年3月
出版者・発行元:一般社団法人電子情報通信学会ISSN:0915-1907
-
完全空乏型Double-Gate SOI MOSFETの短チャネル効果の解析 査読有り
遠藤哲郎, 森 雅朋, 桜庭 弘, 舛岡富士雄
電子情報通信学会論文誌C-II Vol. J82-C-II (No.2) 72-73 1999年2月
出版者・発行元:一般社団法人電子情報通信学会ISSN:0915-1907
-
全パターンをEBで露光した0.2μm nMOSFETの試作 査読有り
田辺昭, 梅谷正人, 藤原郁夫, 小倉孝之, 片岡耕太郎, 林田茂樹, 松尾明, 桜庭弘, 遠藤哲郎, 舛岡富士雄
電子情報通信学会シリコン材料・デバイス研究会, 信学技報 SDM98-186 (555) 13-18 1999年1月
出版者・発行元:一般社団法人電子情報通信学会 -
A high performance voltage down converter (VDC) using new flexible control technology of driving current 査読有り
T Endoh, K Nakamura, F Masuoka
IEICE TRANSACTIONS ON ELECTRONICS E81C (12) 1905-1912 1998年12月
出版者・発行元:IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENGISSN:0916-8524
eISSN:1745-1353
-
The analysis of the stacked surrounding gate transistor (S-SGT) DRAM for the high speed and low voltage operation 査読有り
T Endoh, K Shinmei, H Sakuraba, F Masuoka
IEICE TRANSACTIONS ON ELECTRONICS E81C (9) 1491-1498 1998年9月
出版者・発行元:IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENGISSN:0916-8524
eISSN:1745-1353
-
New Three Dimensional (3D) Memory Array Architecuture For Futurre Ultra High Density DRAM 査読有り
T.Endoh, K.Shinmei, H.Sakuraba, F.Masuoka
1998 International Workshop on Advanced LSIs 237-242 1998年7月
-
New Three Dimensional (3D) Memory Array Architecture For Future Ultra High Density DRAM 査読有り
T. Endoh, K. Shinmei, H. Sakuraba, F. Masuoka
電子情報通信学会, 信学技報 SDM98-113 (ICD98-112) 139-144 1998年7月
出版者・発行元:一般社団法人電子情報通信学会 -
Evaluation of the voltage down converter (VDC) with low ratio of consuming current to load current in DC/AC operation mode 査読有り
T Endoh, K Nakamura, F Masuoka
IEICE TRANSACTIONS ON ELECTRONICS E81C (6) 968-974 1998年6月
出版者・発行元:IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENGISSN:0916-8524
eISSN:1745-1353
-
3次元階層型メモリアレー技術を用いたStacked-SGT DRAM 査読有り
遠藤哲郎, 神明克尚, 舛岡富士雄
電子情報通信学会論文誌C-I Vol. J81-C-I (No.5) 288-289 1998年5月
出版者・発行元:一般社団法人電子情報通信学会ISSN:0915-1893
-
A new write/erase method to improve the read disturb characteristics based on the decay phenomena of stress leakage current for mash memories 査読有り
T Endoh, K Shimizu, H Iizuka, F Masuoka
IEEE TRANSACTIONS ON ELECTRON DEVICES 45 (1) 98-104 1998年1月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCDOI: 10.1109/16.658817
ISSN:0018-9383
-
New reduction mechanism of the stress leakage current based on the deactivation of step tunneling sites for thin oxide films 査読有り
T Endoh, K Shimizu, H Iizuka, F Masuoka
IEICE TRANSACTIONS ON ELECTRONICS E80C (10) 1310-1316 1997年10月
出版者・発行元:IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENGISSN:0916-8524
eISSN:1745-1353
-
New write/erase operation technology for flash EEPROM cells to improve the read disturb characteristics 査読有り
T Endoh, H Iizuka, R Shirota, F Masuoka
IEICE TRANSACTIONS ON ELECTRONICS E80C (10) 1317-1323 1997年10月
出版者・発行元:IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENGISSN:0916-8524
eISSN:1745-1353
-
Multi-SGTの高速動作に関する解析 査読有り
遠藤哲郎, 冨永謙一郎, 舛岡富士雄
電子情報通信学会論文誌 C-II Vol. J80-C-II (No.8) 284-285 1997年8月
-
An accurate model of fully-depleted surrounding gate transistor (FD-SGT) 査読有り
T Endoh, T Nakamura, F Masuoka
IEICE TRANSACTIONS ON ELECTRONICS E80C (7) 905-910 1997年7月
出版者・発行元:IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENGISSN:1745-1353
-
An analytic steady-state current-voltage characteristics of short channel fully-depleted surrounding gate transistor (FD-SGT) 査読有り
T Endoh, T Nakamura, F Masuoka
IEICE TRANSACTIONS ON ELECTRONICS E80C (7) 911-917 1997年7月
出版者・発行元:IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENGISSN:1745-1353
-
Highly sensitive MOSFET gas sensors with porous platinum gate electrode 査読有り
H Seo, T Endoh, H Fukuda, S Nomura
ELECTRONICS LETTERS 33 (6) 535-536 1997年3月
出版者・発行元:IEE-INST ELEC ENGISSN:0013-5194
-
大負荷電流を駆動できる新しい低消費電力降圧回路 査読有り
遠藤哲郎, 中村和敏, 舛岡富士雄
電子情報通信学会和分論文誌 C-II Vol.J80-C-II (No.3) 117-118 1997年3月
出版者・発行元:一般社団法人電子情報通信学会ISSN:0915-1907
-
Effect of oxynitridation on charge trapping properties of ultrathin silicon dioxide films 査読有り
H Fukuda, S Murai, T Endoh, S Nomura
JOURNAL OF APPLIED PHYSICS 81 (4) 1825-1828 1997年2月
出版者・発行元:AMER INST PHYSICSISSN:0021-8979
-
Endurance characteristics of flash EEPROMs 査読有り
T Endoh, F Masuoka
ELECTRONICS AND COMMUNICATIONS IN JAPAN PART II-ELECTRONICS 80 (1) 88-95 1997年1月
出版者・発行元:SCRIPTA TECHNICA-JOHN WILEY & SONSISSN:8756-663X
-
フラッシュEEPROMのデータ書き換え特性 招待有り 査読有り
遠藤 哲郎, 舛岡 富士雄
電子情報通信学会論文誌 C-I Vol.J79-C-I (No.7) 203-209 1996年7月
-
A Novel Programming Method Using a Reverse Polarity Pulse in Flash EEPROMs 査読有り
Hirohisa IIZUKA, Tetsuo Endoh, Seiichi Aritome, Riichiro Shirota, Fujio Masuoka
IECE TRANS.ELECTRON J79-C (No.6) 832-835 1996年4月
-
Growth kinetics of ultrathin silicon dioxide films formed by oxidation in a N2O ambient 査読有り
N Koyama, T Endoh, H Fukuda, S Nomura
JOURNAL OF APPLIED PHYSICS 79 (3) 1464-1467 1996年2月
出版者・発行元:AMER INST PHYSICSISSN:0021-8979
eISSN:1089-7550
-
Characterization of the SiO2/Si interface structure and the dielectric properties of N2O-oxynitrided ultrathin SiO2 films 査読有り
H Fukuda, T Endoh, S Nomura
PHYSICS AND CHEMISTRY OF SIO(2) AND THE SI-SIO(2) INTERFACE-3, 1996 96 (1) 15-27 1996年
出版者・発行元:ELECTROCHEMICAL SOCIETY INC -
Trend of NAND Flash Memory and Future Development 招待有り 査読有り
M.Momodomi, R.Shirota, K.Sakui, T.Endoh, F.Masuoka
International Workshop on Advanced LSI’s 1995 95 (196) 219-225 1995年7月
出版者・発行元:一般社団法人電子情報通信学会 -
A Shielded Bitline Sensing Technology for a High-Density and Low Voltage NAND EEPROM Design 招待有り 査読有り
K.Sakui, T.Tanaka, H.Nakamura, M.Momodomi, T.Endoh, R.Shirota, S.Watanabe, K.Ohuchi, F.Masuoka
International Workshop on Advanced LSI’s 1995 226-232 1995年7月
-
Fast and accurate programming method for multi-level NAND EEPROMs 査読有り
GJ Hemink, T Tanaka, T Endoh, S Aritome, R Shirota
1995 SYMPOSIUM ON VLSI TECHNOLOGY 129-130 1995年
出版者・発行元:I E E E -
MECHANISM OF AC-STRESS-INDUCED LEAKAGE CURRENT IN EEPROM TUNNEL OXIDES 査読有り
K SHIMIZU, T ENDOH, H IIZUKA
1995 IEEE INTERNATIONAL RELIABILITY PHYSICS PROCEEDINGS, 33RD ANNUAL 56-60 1995年
出版者・発行元:I E E E -
Flash memories, their status and trends 招待有り 査読有り
F Masuoka, T Endoh
PROCEEDINGS OF THE FOURTH INTERNATIONAL CONFERENCE ON SOLID-STATE AND INTEGRATED-CIRCUIT TECHNOLOGY A128-A132 1995年
出版者・発行元:PUBLISHING HOUSE ELECTRONICS INDUSTRY -
MODIFIED CONSTANT-CURRENT ANODIZATION - A PROMISING MECHANISM FOR MINIMIZING INTERFACE STATES IN THE SILICON SILICON-OXIDE SYSTEM OF AN ANODIC OXIDE FILM 査読有り
AHM KAMAL, S NOMURA, T ENDOH
JOURNAL OF THE ELECTROCHEMICAL SOCIETY 141 (8) 2227-2230 1994年8月
出版者・発行元:ELECTROCHEMICAL SOC INCISSN:0013-4651
-
An Advanced NAND Structure Cell Technology For Reliable 3.3V 64Mb EEPROMs 査読有り
Seiichi Aritome, Ikuo Hatakeyama, Tetsuo Endoh, Tetsuya Yamaguchi, Susumu Shuto, Hirohisa Iizuka, Tooru Maruyama, Hiroshi Watanabe, Gertjan Hemink, Kouji Sakui, Tomoharu Tanaka, Masaki Momodomi, Riichiro Shirota, Fujio Masuoka
International Conference on Advanced Microelectronic Devices and Processing 587-592 1994年8月
-
AN ADVANCED NAND-STRUCTURE CELL TECHNOLOGY FOR RELIABLE 3.3-V-64 MB ELECTRICALLY ERASABLE AND PROGRAMMABLE READ ONLY MEMORIES (EEPROMS) 査読有り
S ARITOME, HATAKEYAMA, I, T ENDOH, T YAMAGUCHI, S SHUTO, H IIZUKA, T MARUYAMA, H WATANABE, G HEMINK, K SAKUI, T TANAKA, M MOMODOMI, R SHIROTA
JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS 33 (1B) 524-528 1994年1月
出版者・発行元:JAPAN J APPLIED PHYSICSDOI: 10.1143/JJAP.33.524
ISSN:0021-4922
-
Modeling of the hole current caused by fowler-nordheim tunneling through thin oxides 査読有り
Gertjan Hemink, Tetsuo Endoh, Riichiro Shirota
Japanese Journal of Applied Physics 33 (1) 546-549 1994年
DOI: 10.1143/JJAP.33.546
ISSN:1347-4065 0021-4922
-
A NEW WRITE ERASE METHOD FOR THE REDUCTION OF THE STRESS-INDUCED LEAKAGE CURRENT BASED ON THE DEACTIVATION OF STEP TUNNELING SITES FOR FLASH MEMORIES 査読有り
T ENDOH, K SHIMIZU, H IIZUKA, S WATANBE, F MASUOKA
INTERNATIONAL ELECTRON DEVICES MEETING 1994 - IEDM TECHNICAL DIGEST 49-52 1994年
出版者・発行元:I E E E -
フラッシュメモリの信頼性 査読有り
有留誠一, 白田理一郎, 遠藤哲郎, 舛岡富士雄
電子情報通信学会 シリコン材料・デバイス研究会 SDM93-77 41-48 1993年11月
-
Reliability issues of flash memory cells 査読有り
Seiichi Aritome, Riichiro Shirota, Gertjan Hemink, Tetsuo Endoh, Fujio Masuoka
Proceedings of the IEEE 81 (5) 776-788 1993年5月
DOI: 10.1109/5.220908
ISSN:0018-9219
-
NEW WRITE/ERASE OPERATION TECHNOLOGY FOR FLASH EEPROM CELLs TO IMPROVE THE READ DISTURB CHARACTERISTICS 査読有り
T.Endoh, H.Iizuka, S.Aritome, R.Shirota, F.Masuoka
International Electron Device Meeting (IEDM) Tech. Dig. 603-606 1992年12月
-
A Study of High-Performance NAND Structured EEPROMS 査読有り
Tetsuo Endoh, Riichiro Shirota, Seiichi Aritome, Fujio Masuoka
IECE TRANS.ELECTRON E75-C 1351-1357 1992年7月
-
16Mbit NAND型EEPROMの2.3μm2メモリセル技術 査読有り
遠藤哲郎, 白田理一郎, 中山良三, 桐澤亮平, 百富正樹, 作井康司, 有留誠一, 羽鳥文敏, 舛岡富士雄
電子情報通信学会 シリコン材料・デバイス研究会 SDM91-26 (ICD91-30) 19-26 1991年8月
-
フラッシュEEPROMセルの信頼性を向上させる書込み-消去方法 査読有り
有留誠一, 白田理一郎, 桐澤亮平, 遠藤哲郎, 中山良三, 作井康司, 舛岡富士雄
電子情報通信学会 シリコン材料・デバイス研究会 SDM91-28 (ICD91-32) 31-36 1991年6月
-
A 2.3μm2 MEMORY CELL STRUCTURE FOR 16Mb NAND EEPROMs 査読有り
R.SHIROTA, R.NAKAYAMA, R.KIRISAWA, M.MOMODOMI, K.SAKUI, Y.ITOH, S.ARITOME, T.ENDOH, F.HATORI, F.MASUOKA
International Electron Device Meeting (IEDM) Tech. Dig. 103-106 1990年12月
-
A RELIABLE BI-POLARITY WRITE/ERASE TECHNOLOGY IN FLASH EEPROMs 査読有り
S.Aritome, R.Shirota, R.Kirisawa, T.Endoh, R.Nakayama, K.Sakui, F.Masuoka
International Electron Device Meeting (IEDM) Tech. Dig. 111-114 1990年12月
-
107 回のデータ書換えが可能なEEPROMセル 査読有り
遠藤哲郎, 白田理一郎, 田中義幸, 中山良三, 桐沢亮平, 有留誠一, 舛岡富士雄
電子情報通信学会シリコン材料・デバイス研究会 SDM90-18 (ICD90-26) 55-61 1990年4月
-
An Accurate Model of Subbreakdown Due to Bandto-Band Tunneling and Some Applications 査読有り
Tetsuo Endoh, Riichiroh Shirota, Masaki Momodomi, Fujio Masuoka
IEEE Transactions on Electron Devices 37 (1) 290-296 1990年
DOI: 10.1109/16.43828
ISSN:1557-9646 0018-9383
-
A High-Density NAND EEPROM with Block-Page Programming for Microcomputer Applications 査読有り
Yoshihisa Iwata, Masaki Momodomi, Tomoharu Tanaka, Hideko Oodaira, Yasuo Itoh, Ryozo Nakayama, Ryouhei Kirisawa, Seiichi Aritome, Tetsuo Endoh, Riichiro Shirota, Kazunori Ohuchi, Fuji Masuoka
IEEE Journal of Solid-State Circuits 25 (2) 417-424 1990年
DOI: 10.1109/4.52165
ISSN:1558-173X 0018-9200
-
A NAND structured cell with a new programming technology for highly reliable 5V-only flash EEPROM 査読有り
R. Kirisawa, S. Aritome, R. Nakayama, T. Endoh, R. Shirota, F. Masuoka
Digest of Technical Papers - Symposium on VLSI Technology 129-130 1990年
DOI: 10.1109/VLSIT.1990.111042
ISSN:0743-1562
-
EXTENDED DATA RETENTION CHARACTERISTICS AFTER MORE THAN 104 WRITE AND ERASE CYCLES IN EEPROMS 査読有り
S ARITOME, R KIRISAWA, T ENDOH, R NAKAYAMA, R SHIROTA, K SAKUI, K OHUCHI, F MASUOKA
RELIABILITY PHYSICS 1990 259-264 1990年
出版者・発行元:I E E E, ELECTRON DEVICES SOC & RELIABILITY GROUP -
THE INFLUENCE OF MOISTURE ON SURFACE-PROPERTIES AND INSULATION CHARACTERISTICS OF A1N SUBSTRATES 査読有り
Y KURIHARA, T ENDOH, K YAMADA
IEEE TRANSACTIONS ON COMPONENTS HYBRIDS AND MANUFACTURING TECHNOLOGY 12 (3) 330-334 1989年9月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCISSN:0148-6411
-
Reliability Performance of the NAND EEPROM 査読有り
R.Shirota, M.Momodomi, R.Nakayama, R.Kirisawa, Y.Itoh, Y.Iwata, T.Tanaka, S.Aritome, T.Endoh, F.Masuoka
10TH IEEE NON-VOLATILE SEMICONDUCTOR MEMORY WORKSHOP (NVSMW), 92-94 1989年8月
-
An Experimental 4-Mbit CMOS EEPROM with a nand-Structured Cell 査読有り
Masaki Momodomi, Y. Yasuoitoh, Riichiro Shirota, Yoshihisa Iwata, Ryozo Nakayama, Ryouhei Kirisawa, Tomoharu Tanaka, Seiichi Aritome, Tetsuo Endoh, Kazunori Ohuchi, Fujio Masuoka
IEEE Journal of Solid-State Circuits 24 (5) 1238-1243 1989年
ISSN:1558-173X 0018-9200
-
NEW DESIGN TECHNOLOGY FOR EEPROM MEMORY CELLS WITH 10 MILLION WRITE ERASE CYCLING ENDURANCE 査読有り
T ENDOH, R SHIROTA, Y TANAKA, R NAKAYAMA, R KIRISAWA, S ARITOME, F MASUOKA
1989 INTERNATIONAL ELECTRON DEVICES MEETING, TECHNICAL DIGEST 599-602 1989年
出版者・発行元:I E E E, ELECTRON DEVICES SOC & RELIABILITY GROUP -
New Device technologies for 5V-only 4Mb EEPROM with NAND structure cell 査読有り
M.Momodomi, R.Kirisawa, R.Nakayama, S.Aritome, T.Endoh, Y.Itoh, Y.Iwata, H.Oodaira, T.Tanaka, M.Chiba, R.Shirota, F.Masuoka
International Electron Device Meeting (IEDM) Tech. Dig. 412 412-415 1988年12月
-
AN ACCURATE MODEL OF SUBBREAKDOWN DUE TO BAND-TO-BAND TUN-NELING AND ITS APPLICATION 査読有り
R.Shirota, T.Endoh, M.Momodomi, R.Nakayama, S.Inoue, R.Kirisawa, F.Masuoka
International Electron Device Meeting (IEDM) Tech. Dig. 26-29 1988年12月
-
Reductionofthresholdvoltagefluctuationinfield-effecttransistorsbycontrollingindividualdopantposition
M.Hori, K.Taira, A.Komatsubara, K.Kumagai, Y.Ono, T.Tanii, T.Endoh, T.Shinada
Appl.Phys.Lett.101,013503(2012).
MISC 34
-
A demonstration of high-performance STT-MRAM by development of unit process and integration process
H. Sato, H. Honjo, T. Watanabe, M. Niwa, H. Koike, S. Miura, T. Saito, H. Inoue, T. Nasuno, T. Tanigawa, Y. Noguchi, T. Yoshiduka, M. Yasuhira, S. Ikeda, S.- Y. Kang, T. Kubo, K. Yamashita, R. Tamura, T. Nishimura, K. Murata, T. Endoh
ICD研究会 2019年4月23日
-
第1回「省エネ、大容量化を可能にする半導体デバイスの開発」
遠藤 哲郎
科学技術振興機構(JST)「サイエンスポータル」コラムインタビュー記事 2017年12月22日
出版者・発行元:科学技術振興機構(JST) -
産学共創のステージへ(1)幕上がる「OPERA」
遠藤 哲郎
日刊工業新聞1面 2017年11月29日
出版者・発行元:日刊工業新聞社 -
世界のトップ企業が集まる最先端R&D拠点を日本に
遠藤 哲郎
日経エレクトロニクス 2016年12月号 (1174) 97-101 2017年11月18日
出版者・発行元:日経エレクトロニクスISSN:0385-1680
-
Spintronics Materials and Devices for Working Memory Technology FOREWORD
Hideo Ohno, Masafumi Yamamoto, Tetsuo Endoh, Yasuo Ando, Takahiro Hanyu, Kohei M. Itoh, Masaaki Tanaka, Seiji Mitani, Hitoshi Wakabayashi
JAPANESE JOURNAL OF APPLIED PHYSICS 56 (8) 2017年8月
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
Memory reliability of spintronic materials and devices for disaster-resilient computing against radiation-induced bit flips on the ground
Kazuyuki Hirose, Daisuke Kobayashi, Taichi Ito, Tetsuo Endoh
JAPANESE JOURNAL OF APPLIED PHYSICS 56 (8) 2017年8月
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
東北大、産学共同研究の博士学生を成果に応じ評価−企業資金で支援
遠藤 哲郎
日刊工業新聞27面 2017年5月25日
出版者・発行元:日刊工業新聞社 -
第3回 劣勢に立たされていた“日の丸半導体”は復活するか?
遠藤 哲郎
科学技術振興機構(JST)「サイエンスポータル」コラムインタビュー記事 2017年1月23日
出版者・発行元:科学技術振興機構(JST) -
第2回 日本に適したオープン・イノベーションの在り方とは?
遠藤 哲郎
科学技術振興機構(JST)「サイエンスポータル」コラムインタビュー記事 2017年1月13日
出版者・発行元:科学技術振興機構(JST) -
An Overview of Nonvolatile Emerging Memories-Spintronics for Working Memories
Tetsuo Endoh, Hiroki Koike, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno
IEEE JOURNAL ON EMERGING AND SELECTED TOPICS IN CIRCUITS AND SYSTEMS 6 (2) 109-119 2016年6月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCDOI: 10.1109/JETCAS.2016.2547704
ISSN:2156-3357
-
次世代メモリー 18年にも 国内AI研究連携も
遠藤 哲郎
日経産業新聞8面 2016年4月25日
出版者・発行元:日経産業新聞社 -
CIES
遠藤 哲郎
東北大学リサーチハイライト 2015年6月29日
-
PACKING INNOVATION
Alex Scott
CHEMICAL & ENGINEERING NEWS 93 (17) 20-20 2015年4月
出版者・発行元:AMER CHEMICAL SOCISSN:0009-2347
eISSN:1520-605X
-
直面したことのない障壁に立ち向かいそれを乗り越えることこそ研究者の使命
遠藤 哲郎
マイナビ・スペシャルインタビュー記事 20 2015年2月4日
-
A Neuron Circuit Based on Spintronic Device: Novel Approach of Brain-Inspired VLSIs for Next-Generation Artificial Intelligence
Yitao Ma, Tetsuo Endoh
Tohoku University Research News of Engineering 2014年10月25日
-
CIES accelerates spintronics-based LSI and its practical application
Tetsuo Endoh
Tohoku University Research News of Engineering 2014年10月25日
-
招待講演 待機電力重視アプリケーション向け90nm三端子MRAM混載不揮発マイクロコントローラ (集積回路)
崎村 昇, 辻 幸秀, 根橋 竜介, 本庄 弘明, 森岡 あゆ香, 石原 邦彦, 木下 啓藏, 深見 俊輔, 三浦 貞彦, 笠井 直記, 遠藤 哲郎, 大野 英男, 羽生 貴弘, 杉林 直彦
電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 114 (175) 39-44 2014年8月4日
出版者・発行元:一般社団法人電子情報通信学会ISSN:0913-5685
-
トランジスターの常識への挑戦
遠藤 哲郎
JSTニュース (2014年8月号) 2014年8月4日
-
FOREWORD: Special Section on Fundamentals and Applications of Advanced Semiconductor Devices
Tetsuo Endoh
IEICE Transactions on Electronics E96-C (5) 619-619 2013年5月1日
出版者・発行元:電子情報通信学 -
シリコン不揮発性メモリ技術の限界を突破するスピントルク注入型磁気メモリの最新動向
遠藤哲郎, 大澤隆, 小池洋紀, 羽生貴弘, 笠井直記, 大野英男
電子情報通信学会誌 95 (平成24年11月号) 986-991 2012年11月1日
出版者・発行元:一般社団法人電子情報通信学会ISSN:0913-5693
-
STT-MRAM for future high performance Nonvolatile memory
遠藤哲郎, 大澤隆, 小池洋紀, 羽生貴弘, 笠井直記, 大野英男
電子情報通信学会誌 (平成24年11月号) 2012年11月1日
-
SiC薄膜を介したSi基板上エピタキシャルグラフェンの形成
末光眞希, 末光眞希, 宮本優, 半田浩之, 齋藤英司, 今野篤史, 成田克, 吹留博一, 伊藤隆, 安井寛治, 中澤日出樹, 遠藤哲郎
表面科学学術講演会講演要旨集 31st 2011年
-
メモリ階層構造の変化と不揮発性ロジックへの新展開
遠藤 哲郎
応用物理学会 学会誌 79 (12) 28-30 2010年12月
出版者・発行元:応用物理学会 -
依頼講演 Fabrication of a nonvolatile lookup-table circuit chip using magneto/semiconductor-hybrid structure for an immediate-power-up field programmable gate array (集積回路)
鈴木 大輔, 夏井 雅典, 池田 正二, 長谷川 晴弘, 三浦 勝哉, 早川 純, 遠藤 哲郎, 大野 英男, 羽生 貴弘
電子情報通信学会技術研究報告. ICD, 集積回路 110 (9) 47-52 2010年4月15日
出版者・発行元:一般社団法人電子情報通信学会ISSN:0913-5685
-
Beyond CMOS におけるシリコンテクノロジーのインパクト
遠藤 哲郎, 羽生 貴弘
電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 109 (133) 73-78 2009年7月9日
出版者・発行元:一般社団法人電子情報通信学会ISSN:0913-5685
-
Study of the DC Performance of Fabricated Magnetic Tunnel Junction Integrated on Back-end Metal Line of CMOS Circuits
Iga F., Kamiyanagi M., Ikeda S., MIURA K., HAYAKAWA J., HASEGAWA H., HANYU T., OHNO H., ENDOH T.
電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 109 (98) 13-16 2009年6月17日
出版者・発行元:一般社団法人電子情報通信学会ISSN:0913-5685
-
Cat-CVD法による窒化物半導体の成長
安井寛治, 田村和之, 深田祐介, 黒木雄一郎, 末光眞希, 伊藤隆, 成田克, 遠藤哲郎, 中澤日出樹, 高田雅介, 赤羽正志
応用物理学関係連合講演会講演予稿集 54th (2) 2007年
-
Hot-mesh CVD法を用いたSiC/Si(111)上へのGaN成長~AlNバッファー層の効果~
深田祐介, 田村和之, 黒木雄一郎, 末光眞希, 伊藤隆, 成田克, 遠藤哲郎, 中澤日出樹, 高田雅介, 安井寛治, 赤羽正志
応用物理学関係連合講演会講演予稿集 54th (2) 2007年
-
Si基板上SiC極薄膜の低温形成とユビキタスデバイスへの応用
末光 眞希, 今野 篤史, 成田 克, 伊藤 隆, 安井 寛治, 中澤 日出樹, 遠藤 哲郎
電気学会研究会資料. EFM, 電子材料研究会 2006 (15) 45-48 2006年10月3日
-
自分の頭脳で次世代LSIの創生を目指せ!
遠藤 哲郎
(社)日本半導体製造装置協会 SEAJ Journal 104 28-30 2006年9月
出版者・発行元:(社)日本半導体製造装置協会 -
トランジスタ構造の立体化 -縦型MOSトランジスタの高密度メモリへの可能性―
遠藤 哲郎
応用物理学会 学会誌 75 (9) 1115-1119 2006年4月
出版者・発行元:応用物理学会 -
極薄シリコン酸化膜におけるストレスリ-ク電流の物理的起源
遠藤哲郎, 廣瀬和之, 白石賢二
信学技報 (Technical Report of IEICE) SDM2006-106 (2006-138) 271-276 2006年
-
完全空乏型Double-Gate SOI MOSFETの短チャネル効果の解析及びスケーリング理論の提案
遠藤 哲郎, 森 雅朋, 桜庭 弘, 舛岡 富士雄
電子情報通信学会論文誌. C-1, エレクトロニクス 1-光・波動 82 (2) 94-95 1999年2月
出版者・発行元:一般社団法人電子情報通信学会ISSN:0915-1893
-
Reliability issues of flash memory cells
Seiichi Aritome, Riichiro Shirota, Gertjan Hemink, Tetsuo Endoh, Fujio Masuoka
Proceedings of the IEEE 81 (5) 776-788 1993年5月
出版者・発行元:IEEEDOI: 10.1109/5.220908
ISSN:0018-9219
書籍等出版物 3
-
MEMS/NEMS工学大全
遠藤 哲郎
㈱テクノシステム 2008年10月
-
【最新】携帯電話 技術全集
遠藤 哲郎
2008年5月
-
フラッシュメモリ技術ハンドブック
遠藤哲郎
1993年8月
講演・口頭発表等 209
-
スピントロニクス省電力半導体と WBG高効率パワーエレによるゲームチェンジと、高度情報・低炭素社会への貢献 招待有り
遠藤 哲郎
JEDAT Solution Seminar 2023~ Catch the waves! 2023年9月1日
-
スピントロニクス省電力半導体と WBG高効率パワーエレによるゲームチェンジと、高度情報・低炭素社会への貢献 招待有り
遠藤 哲郎
JEDAT Solution Seminar 2023~ Catch the waves! 2023年8月30日
-
「スピントロニクス省電力半導体によるイノベーション」-半導体のゲームチェンジ技術によるカーボンニュートラルへの貢献- 招待有り
遠藤 哲郎
東北大学フォーラム2023 in 東京 2023年7月15日
-
世界の半導体戦略動向とSDGs・カーボンニュートラルに貢献する省エネ半導体 招待有り
遠藤 哲郎
次世代半導体産業セミナー 2023年7月14日
-
不揮発性メモリとスピントロニクス省電力ロジック 招待有り
遠藤 哲郎
IEEE Sendai Section 新 Fellow 記念講演会 2023年4月17日
-
スピントロニクス省電力半導体によるゲームチェンジと、カーボンニュートラル社会への貢献 招待有り
遠藤 哲郎
電子情報通信学会 集積回路研究会(ICD) 2023年4月11日
-
Overview of CIES, Tohoku University 招待有り
遠藤 哲郎
日独ジョイントワークショップ ”次世代半導体と関連技術” 2023年2月8日
-
世界の半導体戦略動向と SDGs・カーボンニュートラルに貢献する省エネ半導体 招待有り
遠藤 哲郎
令和4年度 I-SEP「半導体業界動向セミナー」 2023年2月7日
-
スピントロニクス省電力半導体による ゲームチェンジと、カーボンニュートラル社会への貢献 招待有り
遠藤 哲郎
国際シンポジウム「DX×半導体×モノづくりが切り拓く私たちの未来」 2023年2月2日
-
スピントロニクス省電力半導体と、その宇宙利用への展開 招待有り
遠藤 哲郎
東北大・宇宙航空研究連携拠点 第4回シンポジウム 2022年12月10日
-
半導体の技術革新と自動車産業の関連性及び今後の展望 国際会議 招待有り
Tetsuo Endoh
きたかみ企業ネットワーク2022 in 名古屋 2022年10月27日
-
カーボンニュートラル社会に資するスピントロニクス省電力半導体技術と放射光に対する期待 国際会議 招待有り
Tetsuo Endoh
理研シンポジウム 2022年10月4日
-
カーボンニュートラル社会に資するスピントロニクス省電力半導体技術 国際会議 招待有り
Tetsuo Endoh
ナノ理工学情報交流会 2022年8月30日
-
カーボンニュートラル社会に資するスピントロニクス省電力半導体技術 国際会議 招待有り
Tetsuo Endoh
大阪大学ナノ理工学人材育成産学コンソーシアム 令和4年度 第2回ナノ理工学情報交流会 2022年8月30日
-
Recent progresses in Spintronics based Low Power LSIs for Carbon-neutral Society -- from STT/SOT-MRAM to AI/IoT Processor. 国際会議 招待有り
Tetsuo Endoh
The 11th IEEE Non-Volatile Memory Systems and Applications Symposium (IEEE NVMSA 2022) 2022年8月25日
-
国際集積エレクトロニクスセンターにおける産学連携コンソーシアムと次世代放射光施設活用構想 国際会議 招待有り
Tetsuo Endoh
JATES第80回キーパーソン研究会 2022年6月30日
-
カーボンニュートラルな省エネ社会に貢献するスピントロニクス半導体 国際会議 招待有り
Tetsuo Endoh
東北大学先端技術×ライフサイエンスシリーズvol.4 社会インフラとしてのITと半導体技術~安全から医療連携・健康まで~ 2022年6月24日
-
Recent Progresses in STT-MRAMs and MRAM Based AI Processors 国際会議 招待有り
Tetsuo Endoh
GSEMMM2022 (2nd Global Summit and Expo on Magnetism and Magnetic Materials) 2022年6月13日
-
Recent Progresses in STT-MRAMs and Low power AI Processors with CMOS/MTJ Hybrid Technology 国際会議 招待有り
Tetsuo Endoh
MAGNETISMMEET2022 2022年4月18日
-
スピントロニクス半導体が拓く省電力AI・IoTプロセッサ 国際会議 招待有り
Tetsuo Endoh
第69回応用物理学会 春季学術講演会 シンポジウム「スピントロニクスによるグリーンイノベーション」 2022年3月25日
-
ビックデータ・AI社会に貢献する先端省エネ半導体技術 ~3D-NANDメモリから、スピントロニクス省電力半導体、GaNパワーエレクトロニクスまで~ 国際会議 招待有り
Tetsuo Endoh
I-SEP 「半導体業界動向」セミナー ~半導体市場・技術の未来~ 2022年3月24日
-
SDGsと半導体戦略に貢献するスピントロニクス半導体 国際会議 招待有り
Tetsuo Endoh
東北大学知的財産シンポジウム2022 2022年3月3日
-
STT/SOT-MRAMとその省電力ロジック応用 国際会議 招待有り
Tetsuo Endoh
日本学術振興会R031ハイブリッド量子ナノ技術委員会 第四回研究会 2022年3月2日
-
スピントロニクス半導体の宇宙利用 国際会議 招待有り
Tetsuo Endoh
東北大・宇宙航空研究連携拠点 第3回シンポジウム (社会インパクト研究F-2「太陽系の激動を探り、宇宙に拡がる文明を拓く 」併催) 2022年1月11日
-
スピントロニクス半導体の現状と我が国の半導体戦略への貢献 国際会議 招待有り
Tetsuo Endoh
IEEE Sendai Section LMAG/WIE/YP 合同講演会 2021年12月4日
-
世界の半導体産業戦略動向と東北大学・CIESでの取組 国際会議 招待有り
Tetsuo Endoh
東北大学 電気情報 産学官フォーラム2021「これからの半導体・デジタル産業戦略を考える」 2021年10月8日
-
CMOS/MTJ Hybridプロセッサ・メモリによる高演算性能と低消費電力のジレンマの解決 国際会議 招待有り
Tetsuo Endoh
電子機器トータルソリューション展2021 2021年9月29日
-
Ultra Low Power AI Processor Based on CMOS/MTJ Hybrid Technology 国際会議 招待有り
Tetsuo Endoh
Global Summit and Expo on Magnetism and Magnetic Materials2021(GSEMMM) 2021年9月20日
-
Advanced MTJ and SOT Technology for AI and Automobile applications 国際会議 招待有り
Tetsuo Endoh
INTERMAG2021 2021年4月26日
-
3D Integration of Memories Including Heterogeneous Integration 国際会議 招待有り
Tetsuo Endoh
2021 International Symposium on VLSI Technology, Systems and Applications(VLSI-TSA) 2021年4月19日
-
超高信頼性STT-MRAMと超高速SOT-MRAMの開発~150℃データ保持とサブナノ秒動作への挑戦~ 国際会議 招待有り
Tetsuo Endoh
ICD4月メモリ研究会 2021年4月13日
-
革新的スピントロニクス技術による消費電力と演算性能のジレンマの解決 国際会議 招待有り
Tetsuo Endoh
日本磁気学会第231回研究会 2021年3月30日
-
Nonvolatile AI Processors Based on CMOS/MTJ Hybrid Technology for Ultra Low-Power IoT/AI Systems 国際会議 招待有り
Tetsuo Endoh
ヨッタ国際シンポジウム 2021年3月23日
-
STT and SOT MRAM technologies and its applications from IoT to AI System 国際会議 招待有り
Tetsuo Endoh
International Electron Devices Meeting 2020 (IEDM) 2020年12月12日
-
With/postコロナ時代にも求めらる低消費で知的なシステム~スピントロニクスが拓く超低消費電力IoT/AIプロセッサのインパクト~ 国際会議 招待有り
Tetsuo Endoh
アモルファス・ナノ材料と応用 第147委員会/第148回研究会 2020年10月23日
-
Recent progresses in STT-MRAMs and Nonvolatile Brain-Inspired Processors Based on CMOS/MTJ Hybrid Technology for Ultralow-Power IoT/AI Systems 国際会議 招待有り
Tetsuo Endoh
The 31st Magnetic Recording Conference 2020 (TMRC) 2020年8月16日
-
International industry-academic collaboration (CIES consortium) enhances creation of innovative integrated electronic technologies from 国際会議 招待有り
Tetsuo Endoh
東北大学電気通信研究所 平成30年度 共同プロジェクト研究発表会 2020年2月20日
-
「省エネ社会を拓く革新的半導体技術と岩手への期待」~地域と世界を繋げるオープンイノベーション型産学官金連携~ 国際会議 招待有り
Tetsuo Endoh
北上川バレープロジェクトシンポジウム 2020年2月10日
-
STT-MRAM and CMOS/MTJ Hybrid AI processors for Low Power Edge System, 国際会議 招待有り
Tetsuo Endoh
The 8th International Symposium on Control of Semiconductor Interfaces 2019年11月27日
-
Ultra-Low Power Brain-Inspired Processors and Neuromorphic Processors with CMOS/MTJ Hybrid Technology for Edge AI Systems, 国際会議 招待有り
Tetsuo Endoh
IEEE CPMT Symposium 2019年11月19日
-
The forefront of AI application processors based on MRAM for Society 5.0, 国際会議 招待有り
Tetsuo Endoh
NEDIA 6th Electronic Device Forum 2019年10月31日
-
Ultra-Low Power Brain-Inspired Processors and Neuromorphic Processors with CMOS/MTJ Hybrid technology for Edge AI Systems 国際会議 招待有り
Tetsuo Endoh
Tohoku-Lorraine Conference 2019 2019年9月18日
-
Embedded MRAM and NV-Logic for IoT and AI Applications 国際会議 招待有り
Tetsuo Endoh
MRAM Developer Day 2019 2019年8月5日
-
Nonvolatile Brain-Inspired VLSIs Based on CMOS/MTJ Hybrid Technology for Ultralow-Power Performance and Compact Chip 国際会議 招待有り
Tetsuo Endoh
INFOS2019 2019年7月2日
-
シリコンとスピントロニクスの融合技術が切り拓く革新的AIシステム 国際会議 招待有り
Tetsuo Endoh
東北大学 人工知能エレクトロニクス(AIE)卓越大学院キックオフシンポジウム 2019年3月22日
-
STT-MRAMを活用した超低消費電力不揮発性マイコンの開発と機能実証 国際会議 招待有り
Tetsuo Endoh
ImPACTプログラム未来を拓く 公開総括成果報告会 2019年3月4日
-
次世代を拓くIT・輸送システム融合型エレクトロニクス 国際会議 招待有り
Tetsuo Endoh
第10回先端科学技術戦略早朝討論会 2019年2月27日
-
International industry-academic collaboration (CIES consortium) enhances creation of innovative integrated electronic technologies from 国際会議 招待有り
Tetsuo Endoh
東北大学電気通信研究所 平成30年度 共同プロジェクト研究発表会 2019年2月21日
-
CMOS/MTJ Hybrid 技術の最新動向 国際会議 招待有り
Tetsuo Endoh
日本学術振興会「先端ナノデバイス・材料テクノロジー第151委員会」 2019年2月1日
-
CIESの目指す地域連携活動 国際会議 招待有り
Tetsuo Endoh
産学官金連携フェア2019みやぎ 2019年1月22日
-
省エネ社会を目指すグリーンパワーエレクトロニクス 国際会議 招待有り
Tetsuo Endoh
SEMICON Japan 2018 2018年12月12日
-
CMOS/MTJ Hybrid AIチップのインパクト 国際会議 招待有り
Tetsuo Endoh
CSRN-Tokyo Workshop 2018 2018年10月27日
-
Impact of STT-MRAM and MTJ/CMOS Hybrid NV-Logic - from NV-MPU to NV-AI Chip- 国際会議 招待有り
Tetsuo Endoh
2018 Non-Volatile Memory Technology Symposium (NVMTS) 2018年10月22日
-
賢くなる産業用ロボットを実現する低消費電力AIチップ 国際会議 招待有り
Tetsuo Endoh
Japan Robot Week 2018 2018年10月18日
-
Ultra-Low Power Brain-Inspired Processors and Neuromorphic Processors using MTJ based Memories 国際会議 招待有り
Tetsuo Endoh
Spintronics meets Neuromorphics SPICE Workshop 2018年10月8日
-
Ultralow-Power and Compact Nonvolatile Brain-Inspired VLSIS Based on CMOS/MTJ Hybrid Technology 国際会議 招待有り
Tetsuo Endoh
2018 International Conference on Solid State Devices and Materials 2018年9月13日
-
CMOS/MTJ Hybrid AIチップのインパクトと、真空プロセスへの期待 国際会議 招待有り
Tetsuo Endoh
真空フォーラム2018 2018年9月7日
-
eMRAM technology trend and its Application 国際会議 招待有り
Tetsuo Endoh
Samsung Foundry Forum2018 2018年9月4日
-
An Overview of STT-MRAM and CMOS/MTJ Hybrid NV-Logic such as NV-MPU/MCU 国際会議 招待有り
Tetsuo Endoh
The 7th IEEE Non-Volatile Memory Systems and Applications Symposium 2018年8月28日
-
IoT/AI 時代に求められる革新的エレクトロニクス技術 国際会議 招待有り
Tetsuo Endoh
第3回極限ナノ造形・構造物性研究会・公開講演会 2018年7月30日
-
Development of an Innovative IoT & AI chip for future IoT/Automatic Operation system 国際会議 招待有り
Tetsuo Endoh
IEEE International Symposium on Circuits and Systems 2018年6月7日
-
STT-MRAM and its Application: NV-Logic from NV-MPU/MCU to NV-AI VLSIs 国際会議 招待有り
Tetsuo Endoh
Emerging Techinologies 2018 2018年5月30日
-
Impact of nonvolatile brain-inspired VLSIs with CMOS/MTJ hybrid technology 国際会議 招待有り
Tetsuo Endoh
65回応用物理学会春季学術講演会 2018年5月9日
-
CMOS / MTJハイブリッド技術に基づく不揮発脳型VLSI 国際会議 招待有り
Tetsuo Endoh
通研共同プロジェクト研究発表会 2018年3月18日
-
Embedded Nonvolatile Memory with STT-MRAMs and its Application for Nonvolatile Brain-Inspired VLSIs 国際会議 招待有り
Tetsuo Endoh
The 9th MRAM Global Innovation Forum of IEDM 2017年12月7日
-
IoT/AI時代に求められる革新的エレクトロニクス技術―材料からシステムまでのオープンイノベーション型産学連携― 国際会議 招待有り
Tetsuo Endoh
第17回東北大学多元研 研究発表会 2017年12月4日
-
An Overview of STT-MRAM and CMOS/MTJ Hybrid NV-Logic from NV-MPU/MCU to NV- Brain-Inspired VLSIs 国際会議 招待有り
Tetsuo Endoh
2017 International Workshop on Dielectric Thin Films for Future ULSI Devices : Science and Technology (IWDTF) 2017年11月20日
-
NV-Working Memory and its Logic Applications with Spintronics and Vertical BC-MOSFET Technology 国際会議 招待有り
Tetsuo Endoh
the Magnetism and Magnetic Materials Conference (MMM2017) 2017年11月8日
-
High Performance STT-MRAM and 3D NAND Memory with Vertical MOSFET Technology 国際会議
Tetsuo Endoh
Communications Microsystems Optoelectronics Sensors Emerging Technologies Research 2017 (CMOSETR2017) 2017年5月29日
-
Innovative Integrated Systems for IoT/AI 国際会議
Tetsuo Endoh
Indiana University-Purdue University Indianapolis Nanotechnology Workshop 2017年5月9日
-
Embedded Nonvolatile Memory with STT-MRAMs and its Application for Nonvolatile Brain-Inspired VLSIs 国際会議
Tetsuo Endoh
2017 International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA) 2017年4月24日
-
STT-MRAM and its Application for Nonvolatile Brain-Inspired VLSIs 国際会議
Tetsuo Endoh
SEMICON CHINA 2017年3月12日
-
IoT/AIチップの革新的集積システム開発プラットフォーム
遠藤哲郎
CRDSシンポジウム 2017年3月7日
-
IoT・ビッグデータ社会に向けた新たなメモリ技術と、そのシステム
遠藤哲郎
第4回InfoEver研究会 2017年1月27日
-
IoTに求められる革新的エレクトロニクス技術 ~オープンイノベーション型産学地域連携の重要性
遠藤哲郎
ものづくりイノベーションセミナー 2016年12月20日
-
STT-MRAM and CMOS/MTJ Hybrid NV-Logic for Future Low Power System 国際会議
Tetsuo Endoh
SEMICON Japan 2016 2016年12月16日
-
Nonvolatile Brain-Inspired VLSIs Based on CMOS/MTJ Hybrid Technology for Ultralow-Power Performance and Compact Chip 国際会議 招待有り
Tetsuo Endoh
61st Annual Conference on Magnetism and Magnetic Materials (MMM) 2016年11月4日
-
Nonvolatile Brain-Inspired VLSIs Based on CMOS/MTJ Hybrid Technology for Ultralow-Power Performance and Compact Chip 国際会議
Tetsuo Endoh
61st Annual Conference on Magnetism and Magnetic Materials (MMM) 2016年10月31日
-
STT-MRAM and MTJ/CMOS Hybrid NV-logic for Low Power Systems 国際会議
Tetsuo Endoh
EMN LasVegas Meetings 2016年10月12日
-
Low Power NV-Working Memory and NV-Logic with Spintronics/CMOS Hybrid ULSI Technology
遠藤哲郎
第40回日本磁気学会学術講演会 2016年9月5日
-
STT-MRAM and MTJ/CMOS Hybrid NV-Logic for Ultra Low Power Systems
遠藤哲郎
ナノデバイス科学研究会--第3回実用スピントロニクス新分野創成研究会 2016年8月19日
-
CIESコンソーシアムにおける産学連携
遠藤哲郎
シリコン超集積化システム第165委員会第82回研究会 2016年7月22日
-
High Performance STT-MRAM and 3D NAND Memory with Spintronics and Vertical MOSFET Technology 国際会議
遠藤哲郎
SEMICON WEST 2016 2016年7月13日
-
3次元構造技術とスピントロニクス技術による 半導体メモリの新展開
遠藤哲郎
創発物性科学研究センターコロキウム 2016年5月25日
-
Novel High Performance NV-Working Memory with Spintronics and Vertical MOSFET Technology 国際会議
遠藤哲郎
2016 MRS Spring Meeting&Exhibit 2016年3月28日
-
国際産学共同研究による革新的省エネルギー集積エレクトロニクスの創出~材料・デバイスから回路・システムまで~ 国際会議 招待有り
Tetsuo Endoh
SEMICON Japan 2015 2015年12月18日
-
東北大学国際集積エレクトロニクス研究開発センター(CIES)
遠藤哲郎
SEMICON Japan 2015 2015年12月16日
-
IoT社会を支えるパワーデバイス技術と革新的パワーマネージメント技術 国際会議 招待有り
Tetsuo Endoh
東北大学イノベーションフェア2015 2015年12月9日
-
国際産学共同研究による革新的省エネルギー集積エレクトロニクスの創出~材料・デバイスから回路・システムまで~
遠藤哲郎
東北大学イノベーションフェア2015 2015年12月9日
-
次世代集積エレクトロニクス産業の将来と、宮城県における事業化機会の展望 国際会議 招待有り
Tetsuo Endoh
東京フォーラム2015 2015年11月25日
-
IoT社会を支えるパワーデバイス技術と革新的パワーマネージメント技術
遠藤哲郎
東京フォーラム2015 2015年11月25日
-
科学は社会をどう変革するのか?~トップサイエンスからトップイノベーションへ~ 国際会議 招待有り
Tetsuo Endoh
第一回集積エレクトロニクス技術・事業化検討会 2015年9月24日
-
次世代集積エレクトロニクス産業の将来と、宮城県における事業化機会の展望
遠藤哲郎
第一回集積エレクトロニクス技術・事業化検討会 2015年9月24日
-
MEXTイノベーション創出を支える情報基盤強化のための新技術開発 国際会議 招待有り
Tetsuo Endoh
ACCELシンポジウム(パネルディスカッション) 2015年9月12日
-
科学は社会をどう変革するのか?~トップサイエンスからトップイノベーションへ~
遠藤哲郎
ACCELシンポジウム(パネルディスカッション) 2015年9月12日
-
集積エレクトロニクス領域における産学連携拠点の現状とチャレンジ 国際会議 招待有り
Tetsuo Endoh
日本磁気学会 第203回研究会 2015年7月25日
-
MEXTイノベーション創出を支える情報基盤強化のための新技術開発
遠藤哲郎
日本磁気学会 第203回研究会 2015年7月24日
-
集積エレクトロニクス領域における産学連携拠点の現状とチャレンジ
遠藤哲郎
第62回応用物理学会春季学術講演会 2015年3月12日
-
Future Memory Technology with Vertical MOSFET and STT-MRAM for Ultra Low Power Systems 国際会議
Tetsuo Endoh
KCS (Korean Conference on Semiconductors) 2015 2015年2月11日
-
新たな産学連携ACCEL開発
遠藤哲郎
CREST「次世代エレクトロニクスデバイスの創出に資する革新的材料・プロセス研究」領域ワークショップ 2015年2月6日
-
Impact of 3D Structured LSI with VerticalMOSFET for Future Systems
遠藤哲郎
システムナノ技術によるイノベーションへの展開に向けて第1回研究会 2015年2月5日
-
STT-MRAM, NV-logic with MTJ and high density memory with Vertical MOSFET 国際会議
Tetsuo Endoh
SEMATECH Beyond CMOS Workshop Materials & Technologies for Beyond CMOS 2014年12月14日
-
STT-MRAMおよび不揮発性ロジックの現状と将来展望
遠藤哲郎
第75回応用物理学会秋季学術講演会 2014年9月18日
-
STT-MRAM Technology and Its NV-Logic Applications for Ultimate Power Management 国際会議
Tetsuo Endoh
CMOS Emerging Technologies Research 2014年7月3日
-
Spintronics-based Nonvolatile Computers 国際会議
Tetsuo Endoh
2014 Spintronics Workshop on LSI 2014年6月13日
-
Embedded STT-MRAM 国際会議
Tetsuo Endoh
1st International Workshop on Data-Abundant System Technology 2014年4月22日
-
東北大学国際集積エレクトロニクス研究開発センターの始動と今後の半導体技術の展望
遠藤哲郎
半導体関連産業ものづくり基盤集積セミナー 2014年3月20日
-
Spintronics-based Nonvolatile Computing Systems 国際会議
Tetsuo Endoh
The CSIS International Symposium on Spintronics for Integrated Crictuit Applications and Beyond 2014年3月13日
-
3次元構造デバイスとスピン/CMOS融合デバイスが切り拓く集積エレクトロニクスの将来
遠藤哲郎
2014つくばナノテク拠点シンポジウム 2014年3月6日
-
STT-MRAM and NV-Logic for Low Power Systems 国際会議
Tetsuo Endoh
SEMICON Korea 2014 2014年2月12日
-
集積エレクトロニクスの世界的拠点を目指した国際産学連携研究
遠藤哲郎
東北大学イノベーションフェア 2014年1月28日
-
STT-MRAM and its NV-Logic applications for Ultimate Power Management 国際会議
Tetsuo Endoh
SEMATECH-imec workshop “Beyond CMOS” 2013年12月8日
-
STT-MRAM and NV-Logic for Low Power Systems 国際会議
Tetsuo Endoh
26th International Microprocesses and Nanotechnology Conference (MNC 2013) 2013年12月5日
-
TT-MRAM技術と究極のパワーマネジメントのための不揮発性ロジック応用 国際会議
遠藤哲郎
京都賞記念ワークショップ 2013年11月12日
-
STT-MRAM and NV-Logic for Low Power Systems 国際会議
Tetsuo Endoh
Third Berkeley Symposium on Energy Efficient Electronic Systems (E3S) 2013年10月28日
-
STT-MRAM and Nonvolatile Logic 国際会議
Tetsuo Endoh
3rd IMEC-Stanford International Workshop on Resistive Memories, 2013年10月17日
-
3次元構造とスピントロニクスによる半導体メモリの新展開 国際会議
遠藤哲郎
第77回半導体集積回路シンポジウム 2013年7月1日
-
A 1.5nsec/2.1nsec Random Read/Write Cycle 1Mb STT-RAM Using 6T2MTJ Cell with Background Write for Nonvolatile e-Memories 国際会議 招待有り
Tetsuo Endoh
VLSI Symposium 2013の国内報告会 2013年6月13日
-
A 1.5nsec/2.1nsec Random Read/Write Cycle 1Mb STT-RAM Using 6T2MTJ Cell with Background Write for Nonvolatile e-Memories
遠藤哲郎
VLSI Symposium 2013の国内報告会 2013年6月11日
-
MRAM/STTRAM/TA-MRAM which ones first? For which applications? Which challenges still on the way? 国際会議 招待有り
Tetsuo Endoh
International Memory Workshop 2013 2013年5月29日
-
MRAM/STTRAM/TA-MRAM which ones first? For which applications? Which challenges still on the way? 国際会議
Tetsuo Endoh
International Memory Workshop 2013 2013年5月26日
-
Current Status of NAND Memories and Its Future Prospect with 3D NAND Technology 国際会議
Tetsuo Endoh
Materials Research Society (MRS) 2013 Spring Meeting 2013年4月1日
-
3次元構造デバイスとスピン/CMOS融合デバイスが切り拓く集積エレクトロニクスの将来
遠藤哲郎
第8回つくばナノテク拠点シンポジウム 2013年3月6日
-
不揮発性STT-MRAMの開発と今後の展望
遠藤哲郎, 大澤隆, 伊賀文崇, 池田正二, 羽生貴弘, 大野英男
応用物理学会・特別シンポジウム 2013年2月27日
-
縦型ボディチャネルMOSFETとその集積プロセスの開発
遠藤哲郎
JST-CREST 「次世代エレクトロニクスデバイスの創出に資する革新材料・プロセス研究」領域 第二回公開シンポジウム 2013年2月8日
-
縦型CMOSデバイスで目指す究極の3次元集積回路
遠藤哲郎
JST-CREST 「次世代エレクトロニクスデバイスの創出に資する革新材料・プロセス研究」領域 第二回公開シンポジウム 2013年2月8日
-
600MHz Nonvolatile Latch Based on a New MTJ/CMOS Hybrid Circuit Concept 国際会議
Tetsuo Endoh, Shuta Togashi, Fumitaka Iga, Yasuhiro Yoshida, Takashi Ohsawa, Hiroki Koike, Shunsuke Fukami, Shoji Ikeda, Naoki Kasai, Noboru Sakimura, Takahiro Hanyu, Hideo Ohno, Tetsuo Endoh
The 3rd CSIS International Symposium on Spintronics-based VLSIs 2013年1月31日
-
省エネシステムのためのSTT-MRAMと、そのロジック応用
遠藤哲郎, 小池洋紀, 大澤隆, 羽生貴弘, 笠井直記, 大野英男
ゲートスタック研究会 2013年1月25日
-
集積エレクトロニクス技術が切り開く省エネ社会
遠藤哲郎
東北大学イノベーションフェア2013 2013年1月17日
-
グリーンパワー集積システムが拓く賢い省エネ社会
遠藤哲郎
東北大学イノベーションフェア2013 2013年1月17日
-
MRAMの最新動向
遠藤哲郎, 池田正二, 羽生貴弘, 笠井直記, 大野英男
電子ジャーナル技術セミナー 2013年1月11日
-
STT-MRAM Technology for realizing a Zero standby-power system and its future potential 国際会議
セミコン・ジャパン2012 2012年12月8日
-
縦型ボディチャネルMOSFETとその集積プロセスの開発
JST CREST×さきがけ ジョイントワークショップ 2012年10月26日
-
MTJ Based Non-volatile RAM and Logic for Future System with Standby Power Zero 国際会議
9th Sematech International Symposium on Advanced Gate Stack Technology 2012年10月4日
-
パワー半導体と知的電力制御技術が拓く快適な省エネ社会
東北大学 電気・情報系 新専攻設立記念講演 2012年7月31日
-
MTJ Based Non-volatile RAM and Low Power Non-volatile Logic Suitable to Pipeline Architecture
The 8th Annual SEMATECH Symposium Japan 2012 2012年6月26日
-
Restructuring of Memory Hierarchy in System and No-Standby-Power Nonvolatile Logic with STT-MRAM Technology
IMEC(Interuniversity Microelectronics Centre)-Tohoku University Seminar 2012年6月21日
-
Restructuring of Memory Hierarchy in System and No-Standby-Power Nonvolatile Logic with STT-MRAM Technology 国際会議
14th Leti (Laboratoire d'électronique des technologies de l'information)Annual Review 2012年6月20日
-
ナノエレ領域 日本が目指すべきナノテクデバイスの未来像
JST/CRDS ナノテクノロジー・材料分野俯瞰ワークショップ 2012年6月8日
-
待機電力ゼロを目指した高度エネルギーマネージメント技術
JST/CRDSナノテクノロジー・材料分野俯瞰ワークショップ 2012年6月8日
-
エネルギー利用効率を飛躍的に高める集積エレクトロニクスデバイス技術
JST/CRDS ナノテクノロジー・材料分野俯瞰ワークショップ 2012年6月8日
-
10年後のメモリ:何が求められているか?
日本学術振興会 第151委員会研究会 2012年5月29日
-
3次元構造が導く次世代グリーンLSI技術
東北大学 イノベーションフェア 2012年3月15日
-
MRAMの最新動向について
電子ジャーナルテクニカルセミナー 2012年1月13日
-
STT-RAM Technology 国際会議
IMEC(Interuniversity Microelectronics Centre)Confarence 2011年12月22日
-
スピントロニクスメモリの現状とその展開 国際会議
TEL Private Seminar 2011 2011年12月8日
-
縦型ボディーチャネルMOSFETとその集積プロセスの開発
CREST「次世代エレクトロニクスデバイスの創出に資する革新材料・プロセス研究」第一回公開シンポジウム:物質の魅力的な性質を切り拓く 2011年11月25日
-
パワー半導体と知的電力制御技術が拓く快適な省エネ社会
東北大学 電気・情報 東京フォーラム2011 2011年11月18日
-
Impact of Vertical Structured devices for Future Nano LSI 国際会議
AVS 58th International Symposium and Exhibition 2011年10月31日
-
3D Vertical Structured Memory and Spintoronics Memory Technology 国際会議
1st Annual World Congress of Nano-S&T 2011年10月23日
-
Vertical Structured Cells and Vertical Stacked Cells for Nano-Generation High Density Memory 国際会議
220th ECS Meeting 2011年10月10日
-
Impact of Vertical Structured Devices and Spintronic Devices for Future Nano LSI 国際会議
International Workshop on Quantum Nanostructures and Nanoelectronic (QNN2011) 2011年10月4日
-
Scalable STT RAM Technology for Low Power Systems 国際会議
Samsung Semiconductor Future Technology Forum 2011 2011年9月23日
-
Sub-20nm STT-MRAM as a replacement for DRAM 国際会議
Samsung Semiconductor Future Technology Forum 2011 2011年9月23日
-
Scalable STT RAM Technology for Low Power Systems 国際会議
Samsung Semiconductor Future Technology Forum 2011 2011年9月22日
-
STT-RAM技術の現状とその将来展望
日本半導体製造装置協会講演会 2011年8月10日
-
3D CMOS Devices –Why do we need them and challenges 国際会議
7th Annual SEMATECH Symposium Japan 2011年6月22日
-
3D CMOS Devices –Why do we need them and challenges 国際会議
7th Annual SEMATECH Symposium Japan 2011年6月22日
-
Impact of Spintronics Devices with Vertical MOSFET Technology for Future Nano-VLSI 国際会議
CMOS Emerging Technologies Meeting 2011 2011年6月17日
-
Will Emerging Non-Volatile Memories Finally Emerge? 国際会議
2011 Symposia on VLSI Technology and Circuits, Technology Rump Session 2011年6月14日
-
Research and Development of Ultra-low Power Spintronics based VLSIs 国際会議
7th International Nanotechnology Conference on Communication and Cooperation (INC 7) 2011年5月18日
-
STT RAMによる不揮発性メモリの低消費電力システムへの新展開
セミコンポータル SPI フォーラム-次世代携帯機器をけん引するストレージデバイス- 2011年3月22日
-
Spintronics-based VLSIs for Ultra Low power Nonvolatile Computer Systems 国際会議
9th International Symposium on Nanotechnology of International Nanotechnology Exhibition and Conference 2011年2月18日
-
Nonvolatile Computer Systems and Memory Hierarchy Transformation with STT RAM Technology 国際会議
The 1st CSIS International Symposium on Spintronics-based VLSIs and The 7th RIEC International Workshop on Spintronisc 2011年2月4日
-
縦型MOSデバイスと積層縦型メモリ技術 国際会議
東北大学「次世代集積デバイス・プロセスの展望」シンポジウム 2010年12月15日
-
省エネルギー・スピントロニクス論理集積回路の研究開発-スピントロニクス集積回路のインパクト- 国際会議
セミコンジャパン-応用物理学会特別シンポジウム-半導体テクノロジーの最先端~最先端研究開発支援プログラム採択30課題より~ 2010年12月2日
-
Spin Transfer Torque MRAM (SPRAM) and its applications for Lowper 国際会議
International Technology Roadmap for Semiconductors Memory Materials Workshop 2010年11月30日
-
MTJ CMOS Hybrid集積回路
東北大学電気通信研究所共同プロジェクト 2010年11月26日
-
Future High Density Memory with Vertical Structured Device Technology 国際会議
International Conference on Solid-State and Integrated Circuit Technology 2010年11月2日
-
Impact of 3D Structured Devices and Spintronics Devices for Future Silicon based Memory and Logic 国際会議
Samsung Advanced Institute of Technology Forum 2010年10月25日
-
構造融合・機能融合によるシリコンテクノロジーの新展開
東北大学イノベーションフェア2010 in Sendai 2010年10月18日
-
構造融合・機能融合によるシリコンテクノロジーの新展開-シリコンテクノロジーの未来像を徹底的に考える-
平成22年秋季第71回応用物理学会学術講演会 2010年9月16日
-
縦型MOSFET技術に基づく3次元集積回路とその将来展望
JEITA「技術戦略委員会省電力エレクトロニクス技術分科会」 2010年9月10日
-
スピントロニクス集積回路のインパクト 国際会議
つくばナノテク産学独連携人材育成プログラム・シンポジウム 2010年8月5日
-
3次元構造と新機能融合が開く新しいシリコン集積回路
電気学会「シリコンナノデバイス集積化技術調査専門委員会」 2010年7月16日
-
スピントロニクス集積回路のインパクト
東北大学 省エネルギー・スピントロニクス集積化システムセンター キックオフシンポジウム 2010年5月25日
-
Impact of Vertical Devices for Future Nano LSI 国際会議
Materials Research Society (MRS) 2010 Spring Meeting 2010年4月9日
-
Spin Transfer Torque MRAM (SPRAM) and its applications 国際会議
The International Technology Roadmap for Semiconductors (ITRS) 2010年4月6日
-
Technology Trend on Non-Volatile Memories 国際会議
Varian Semiconductor Equipment Forum 2010年3月29日
-
世界2大半導体拠点の動向と戦略を探る!-CNSE:米国ニューヨーク州アルバニーナノテク拠点
JSTイノベーションプラザ宮城講演会 2010年3月2日
-
ナノ時代エレクトロニクスを切り開く縦型MOSFETと3次元半導体集積回路技術 国際会議
第二回東北大学国際産学連携シンポジウム 2010年2月22日
-
Impact of Vertical Structured Devices and Spintronic Devices for Future Nano LSI 国際会議
SEMICON Korea 2010年2月3日
-
Current status of NAND flash memory and future prospect of the next generation nonvolatile semiconductor memory for new storage systems 国際会議
11th Joint MMM-intermag Conference 2010年1月22日
-
3次元構造が切り拓く新概念Si集積回路
東北大学 電気・情報 東京フォーラム2009 2009年11月18日
-
Siデバイスのスケーリング限界と新規メモリのベンチマーク
JEITA「スピントロニクス技術分科会」 2009年11月12日
-
Robustで環境にやさしいシリコンナノエレクトロニクスを目指して
JST イノベーションフォーラム2009 2009年10月14日
-
Impact of Spintronic devices for Future Nano Silicon base LSI 国際会議
5th International Schoool and Conference on Spintronics and Quantum Information Techinology 2009年7月11日
-
Future high density memory with vertical structured device technology 国際会議
2009 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 2009年6月26日
-
BeyondCMOSにおけるシリコンテクノロジーの可能性
電子情報通信学会シリコン材料・デバイス研究会(SDM)/集積回路研究会(ICD)共催 2009年6月18日
-
Stacked Type NAND Cell Technology 国際会議
Tetsuo Endoh
Stanford and Tohoku Universities Joint Open Workshop on 3D Transistor and its Applications 2009年6月16日
-
記憶の未来を支える新しいメモリ技術
Tetsuo Endoh
JSTフォーラム 2009年3月17日
-
NAND型フラッシュメモリの現状と、今後の不揮発性半導体メモリの新展開
Tetsuo Endoh
「ゲートスタック研究会 ─材料・プロセス・評価の物理─」 (第14回研究会) 2009年1月23日
-
Impact of Vertical Structure Devices For Future Nano LSI 国際会議
SPA Seminar 3D devices and its Applications 2009年1月13日
-
Advantage of Low Temperature Plasma Oxidation and Nidtridation 国際会議
Tetsuo Endoh
SPA Seminar 3D devices and its Applications 2009年1月13日
-
デバイス特性ばらつきにRobustなMOS回路に関する研究
Tetsuo Endoh
NWDTF-09 「ポストスケーリング技術と物理―より深い議論を通して、次への展開を探る-」 2008年12月23日
-
スピン注入型スピンデバイスに向けた電流パルス電源回路に関する研究
通研共同プロジェクト 2008年12月5日
-
スピン注入型スピンデバイスに向けた電流パルス電源回路に関する研究
Tetsuo Endoh
Stanford and Tohoku Universities Joint Open Workshop on 3D Transistor and its Applications 2008年11月7日
-
Impact of Vertical Strucrtural Devices for Future Nano LSI 国際会議
Stanford and Tohoku Universities Joint Open Workshop on 3D Transistor and its Applications 2008年11月7日
-
Impact of Vertical structured devices for Future Nano LSI 国際会議
Tetsuo Endoh
阪大ナノサイエンスナノテクノロジー国際シンポジウム 2008年9月29日
-
縦型不揮発性メモリへの挑戦:低消費電力とナノ材料
Tetsuo Endoh
学振151委員会「環境・エネルギー材料研究と省電力ナノエレクトロニクス」研究会 2008年9月19日
-
縦型構造の電荷蓄積膜方式セルを積層した超高密度不揮発性半導体メモリ製造技術
JSTイノベーションフォーラム2008 2008年6月4日
-
縦型構造MOSデバイスの将来と、その大容量半導体メモリへの展開
Agilent Measurement Forum 2008 2008年6月3日
-
SEMIテクノロジーシンポジウム(STS) 国際会議
セミコン・ジャパン 2007 2007年12月5日
-
Impact of Vertical Strucrtural Devices for Future Nano LSI 国際会議
Stanford and Tohoku Universities Joint Open Workshop on 3D Transistor and its Applications 2007年11月20日
-
3D Transistor and its Application 国際会議
Tohoku University Seminar 2007年11月19日
-
メモリ-、フラッシュ微細化技術について 国際会議
Semicon Japan2006 2006年12月
-
DRAM and Flash Memory Technologies based on 3-dimensional structures 国際会議
FEOL - Enablers for Advanced Memory Scaling 2005年12月
-
Siナノデバイスを牽引する縦型トランジスタ技術とその評価手法
アジレント・テクノロジー㈱ 技術セミナー 2005年10月
-
トランジスタ技術 ”材料か、構造か”
JSTフォーラム 2005年7月
産業財産権 99
-
直流ヒューズ及びこの直流ヒューズを備えた電気設備
加藤修治, 遠藤哲郎
特許6985742
産業財産権の種類: 特許権
権利者: 東北大学
-
半導体デバイス
莟邦寛, 遠藤哲郎, 村口正和
特許6957795
産業財産権の種類: 特許権
権利者: 東北大学
-
磁気抵抗効果素子および磁気メモリ
佐藤英夫, 池田正二, マティアス ベルスワイラー, 本庄弘明, 渡部杏太, 深見俊輔, 松倉文礼, 伊藤顕知, 丹羽正昭, 遠藤哲郎
特許6948706
産業財産権の種類: 特許権
権利者: 東北大学
-
読み出し装置、及びロジックデバイス
羽生貴弘, 鈴木大輔, 大野英男, 遠藤哲郎
特許6935931
産業財産権の種類: 特許権
権利者: 東北大学
-
磁気トンネル接合素子および磁気メモリ
本庄弘明, 池田正二, 佐藤英夫, 遠藤哲郎, 大野英男
特許6934673
産業財産権の種類: 特許権
権利者: 東北大学
-
磁性積層膜、磁気メモリ素子、磁気メモリ、及びその製造方法
深見俊輔, 張超亮, 大河原綾人, 渡部杏太, 大野英男, 遠藤哲郎
特許6923213
産業財産権の種類: 特許権
権利者: 東北大学
-
メモリ装置
馬奕涛, 遠藤哲郎
特許6919846
産業財産権の種類: 特許権
権利者: 東北大学
-
メモリ装置及びメモリシステム
馬奕涛, 遠藤哲郎
特許6888787
産業財産権の種類: 特許権
権利者: 東北大学
-
磁気トンネル接合素子を備える磁気メモリの製造方法
伊藤顕知, 遠藤哲郎, 池田正二, 佐藤英夫, 大野英男, 三浦貞彦, 丹羽正昭, 本庄弘明
特許6887686
産業財産権の種類: 特許権
権利者: 東北大学
-
磁気トンネル接合素子およびその製造方法
本庄弘明, 池田正二, 佐藤英夫, 遠藤哲郎, 大野英男
特許6876335
産業財産権の種類: 特許権
権利者: 東北大学
-
磁気抵抗効果素子及び磁気メモリ装置
深見俊輔, 岩渕透, 大野英男, 遠藤哲郎
特許6861996
産業財産権の種類: 特許権
権利者: 東北大学
-
磁気トンネル接合素子の熱安定性指数の測定方法および測定システム、半導体集積回路、ならびに半導体集積回路の生産管理方法
伊藤顕知, 遠藤哲郎, 佐藤英夫, 齋藤節, 村口正和, 大野英男
特許6841517
産業財産権の種類: 特許権
権利者: 東北大学
-
スピントロニクス素子
佐藤創志, 丹羽正昭, 本庄弘明, 池田正二, 佐藤英夫, 大野英男, 遠藤哲郎
特許6841508
産業財産権の種類: 特許権
権利者: 東北大学
-
抵抗変化型記憶素子のデータ書き込み装置
羽生貴弘, 鈴木大輔, 大野英男, 遠藤哲郎
特許6822657
産業財産権の種類: 特許権
権利者: 東北大学
-
磁気トンネル複合素子及び磁気メモリ
佐藤英夫, 堀川喜久, 深見俊輔, 池田正二, 松倉文礼, 大野英男, 遠藤哲郎, 本庄弘明
特許6806375
産業財産権の種類: 特許権
権利者: 東北大学
-
抵抗変化型記憶素子のデータ書き込み装置、及び不揮発性フリップフロップ
羽生貴弘, 鈴木大輔, 大野英男, 遠藤哲郎
特許6803063
産業財産権の種類: 特許権
権利者: 東北大学
-
半導体装置用のプローブ針
遠藤哲郎, 村口正和
特許6778937
産業財産権の種類: 特許権
権利者: 東北大学
-
磁気抵抗効果素子、磁気メモリ装置、製造方法、動作方法、及び集積回路
深見俊輔, 大野英男, 遠藤哲郎
特許6778866
産業財産権の種類: 特許権
権利者: 東北大学
-
抵抗変化型素子を備えた記憶回路
小池洋紀, 遠藤哲郎
特許6749021
産業財産権の種類: 特許権
権利者: 東北大学
-
回路設計支援システム、回路設計支援方法、回路設計支援プログラムおよびそのプログラムを記録したコンピュータ読み取り可能な記録媒体
夏井雅典, 玉越晃, 羽生貴弘, 望月明, 遠藤哲郎, 小池洋紀, 大野英男
特許6692550
産業財産権の種類: 特許権
権利者: 東北大学
-
電圧調整回路
田野井聡, 遠藤哲郎
特許6656660
産業財産権の種類: 特許権
権利者: 東北大学
-
磁気抵抗効果素子及び磁気メモリ
佐藤英夫, 石川慎也, 深見俊輔, 池田正二, 松倉文礼, 大野英男, 遠藤哲郎
特許6607578
産業財産権の種類: 特許権
権利者: 東北大学
-
プローバチャック、磁気メモリ用プローバチャック及びプローバ
遠藤哲郎, 池田正二
特許6486747
産業財産権の種類: 特許権
権利者: 東北大学
-
STT-MRAMを使用した半導体記憶装置
大澤隆, 遠藤哲郎
特許6421399
産業財産権の種類: 特許権
権利者: 東北大学
-
抵抗変化型記憶素子のデータ書き込み装置
羽生貴弘, 鈴木大輔, 夏井雅典, 望月明, 大野英男, 遠藤哲郎
特許6404326
産業財産権の種類: 特許権
権利者: 東北大学
-
集積回路
遠藤哲郎, 大澤隆, 小池洋紀, 羽生貴弘, 大野英男
特許6337997
産業財産権の種類: 特許権
権利者: 東北大学
-
記憶回路
大澤隆, 遠藤哲郎
特許6333832
産業財産権の種類: 特許権
権利者: 東北大学
-
不揮発性連想メモリ
羽生貴弘, 松永翔雲, 望月明, 遠藤哲郎, 大野英男
特許6327902
産業財産権の種類: 特許権
権利者: 東北大学
-
メモリセル及び記憶装置
大澤隆, 遠藤哲郎
特許6315484
産業財産権の種類: 特許権
権利者: 東北大学
-
不揮発性ラッチ回路
羽生貴弘, 鈴木大輔, 大野英男, 遠藤哲郎, 夏井雅典, 望月明, 木下啓蔵, 池田正二, 佐藤英夫, 深見俊輔
特許6288643
産業財産権の種類: 特許権
権利者: 東北大学
-
不揮発性連想メモリセル及び不揮発性連想メモリ
羽生貴弘, 松永翔雲, 望月明, 遠藤哲郎, 大野英男
特許6261041
産業財産権の種類: 特許権
権利者: 東北大学
-
回路設計支援装置、方法及びプログラム
大野英男, 遠藤哲郎, 小池洋紀, 羽生貴弘
特許6256951
産業財産権の種類: 特許権
権利者: 東北大学
-
不揮発性論理集積回路設計支援システム
遠藤哲郎, 羽生貴弘, 大野英男
特許6253048
産業財産権の種類: 特許権
権利者: 東北大学
-
記憶装置、メモリセル及びデータ書き込み方法
大澤隆, 遠藤哲郎
特許6213926
産業財産権の種類: 特許権
権利者: 東北大学
-
集積回路
遠藤哲郎, 大澤隆, 小池洋紀, 羽生貴弘, 大野英男
特許6201259
産業財産権の種類: 特許権
権利者: 東北大学
-
不揮発性論理ゲート素子
大野英男, 羽生貴弘, 遠藤哲郎
特許6191967
産業財産権の種類: 特許権
権利者: 東北大学
-
磁気抵抗効果素子、及び磁気メモリ装置
深見俊輔, 張超亮, 大野英男, 遠藤哲郎, 姉川哲朗
特許6168578
産業財産権の種類: 特許権
権利者: 東北大学
-
半導体装置及びその製造方法
遠藤哲郎, 徐文植
特許6095951
産業財産権の種類: 特許権
権利者: 東北大学
-
不揮発機能メモリ装置
羽生貴弘, 松永翔雲, 夏井雅典, 遠藤哲郎, 大野英男
特許6004465
産業財産権の種類: 特許権
権利者: 東北大学
-
不揮発性論理集積回路
大野英男, 羽生貴弘, 遠藤哲郎
特許5904405
産業財産権の種類: 特許権
権利者: 東北大学
-
集積回路とその製造方法
遠藤哲郎, 上柳雅史
特許5830797
産業財産権の種類: 特許権
権利者: 東北大学
-
論理集積回路のCADシステム及びスピントロニクス論理集積回路の設計方法
遠藤哲郎, 羽生貴弘, 大野英男
特許5810426
産業財産権の種類: 特許権
権利者: 東北大学
-
試験可能な不揮発論理ゲート
遠藤哲郎, 羽生貴弘, 大野英男, 松永翔雲
特許5807287
産業財産権の種類: 特許権
権利者: 東北大学
-
抵抗変化素子の動作をシュミレーションする方法
遠藤哲郎, 羽生貴弘, 大野英男
特許5793805
産業財産権の種類: 特許権
権利者: 東北大学
-
半導体集積回路とその製造方法
遠藤哲郎, 徐文植
特許5737525
産業財産権の種類: 特許権
権利者: 東北大学
-
半導体記憶装置
大澤隆, 遠藤哲郎
特許5733575
産業財産権の種類: 特許権
権利者: 東北大学
-
半導体装置
作井康司, 遠藤哲郎
特許5712436
産業財産権の種類: 特許権
権利者: 東北大学
-
メモリデータ読み出し回路
小池洋紀, 遠藤哲郎
特許5703109
産業財産権の種類: 特許権
権利者: 東北大学
-
半導体装置の製造方法
遠藤哲郎
特許4719910
産業財産権の種類: 特許権
権利者: 東北大学
-
抵抗変化型素子を備えた記憶回路
小池洋紀, 遠藤哲郎
産業財産権の種類: 特許権
権利者: 東北大学
-
白金系スパッタリングターゲット及びその製造方法
遠藤哲郎, 池田正二
産業財産権の種類: 特許権
権利者: 東北大学
-
メモリデバイス
遠藤哲郎
産業財産権の種類: 特許権
権利者: 東北大学
-
メモリアレイ
遠藤哲郎
産業財産権の種類: 特許権
権利者: 東北大学
-
磁性膜、磁気抵抗効果素子及び磁気メモリ
齋藤好昭, 池田正二, 遠藤哲郎
産業財産権の種類: 特許権
権利者: 東北大学
-
抵抗変化型素子を備えた記憶回路とそのテスト装置
遠藤哲郎, 小池洋紀
産業財産権の種類: 特許権
権利者: 東北大学
-
素子ユニット
伊藤一樹, 遠藤哲郎
特許7011878
産業財産権の種類: 特許権
権利者: 東北大学
-
シリコンウェーハ、及び、シリコンウェーハの製造方法
池田正二, 遠藤哲郎, 福田悦生
特許7090295
産業財産権の種類: 特許権
権利者: 東北大学
-
磁気メモリ素子及びその製造方法、並びに磁気メモリ
遠藤哲郎, 丹羽正昭, 本庄弘明, 佐藤英夫, 池田正二, 渡辺俊成
産業財産権の種類: 特許権
権利者: 東北大学
-
半導体集積回路用のシリコンピラーの作製方法
葉術軍, 遠藤哲郎, 佐藤英夫, 山部紀久夫
産業財産権の種類: 特許権
権利者: 東北大学
-
半導体集積回路用のシリコンピラーの作製方法
葉術軍, 遠藤哲郎, 佐藤英夫, 山部紀久夫
産業財産権の種類: 特許権
権利者: 東北大学
-
磁気抵抗効果素子及び磁気メモリ
本庄弘明, 遠藤哲郎, 佐藤英夫, 池田正二
産業財産権の種類: 特許権
権利者: 東北大学
-
電力変換システム、発電システム、有効電力授受システム及び電力系統
加藤修治, 高橋良和, 遠藤哲郎
産業財産権の種類: 特許権
権利者: 東北大学
-
デバイス、センサノード、アクセスコントローラ、データ転送方法及びマイクロコントローラにおける処理方法
夏井雅典, 鈴木大輔, 玉越晃, 羽生貴弘, 遠藤哲郎, 大野英男
産業財産権の種類: 特許権
権利者: 東北大学
-
磁性積層膜、磁気メモリ素子及び磁気メモリ
齋藤好昭, 池田正二, 佐藤英夫, 遠藤哲郎
産業財産権の種類: 特許権
権利者: 東北大学
-
電力変換装置及び発電システム
加藤修治, 高橋良和, 遠藤哲郎
産業財産権の種類: 特許権
権利者: 東北大学
-
蓄電システム、新エネシステム、配電システム、送電システム、輸送機器、電気自動車のバッテリシステム及び無停電電源装置のバッテリシステム
加藤修治, 高橋良和, 遠藤哲郎
産業財産権の種類: 特許権
権利者: 東北大学
-
不揮発性論理回路
夏井雅典, 羽生貴弘, 遠藤哲郎
産業財産権の種類: 特許権
権利者: 東北大学
-
電力検出装置、電力変換装置、発電システム、電力授受システム、負荷システム及び送配電システム
加藤修治, 遠藤哲郎, 高橋良和
特許7177466
産業財産権の種類: 特許権
権利者: 東北大学
-
交流電圧出力システム、電力系統制御システム、電力系統、直流送電システム、発電システム及びバッテリシステム
加藤修治, 高橋良和, 遠藤哲郎
産業財産権の種類: 特許権
権利者: 東北大学
-
ルックアップテーブル回路
羽生貴弘, 鈴木大輔, 遠藤哲郎
特許7109814
産業財産権の種類: 特許権
権利者: 東北大学
-
磁気抵抗効果素子、磁気メモリ、及び、該磁気抵抗効果素子の成膜方法
西岡浩一, 遠藤哲郎, 池田正二, 佐藤英夫, 本庄弘明
産業財産権の種類: 特許権
権利者: 東北大学
-
磁気抵抗効果素子及び磁気メモリ
三浦貞彦, 本庄弘明, 佐藤英夫, 池田正二, 遠藤哲郎
産業財産権の種類: 特許権
権利者: 東北大学
-
磁気メモリ装置
遠藤哲郎, 齋藤好昭, 池田正二
産業財産権の種類: 特許権
権利者: 東北大学
-
磁気抵抗効果素子、磁気メモリアレイ、磁気メモリ装置及び磁気抵抗効果素子の書き込み方法
齋藤好昭, 池田正二, 遠藤哲郎
産業財産権の種類: 特許権
権利者: 東北大学
-
磁気抵抗効果素子、磁気メモリ装置並びに磁気メモリ装置の書き込み及び読み出し方法
齋藤好昭, 池田正二, 佐藤英夫, 遠藤哲郎
産業財産権の種類: 特許権
権利者: 東北大学
-
電力変換装置、発電システム、負荷システム及び送配電システム
加藤修治, 遠藤哲郎
特許7168189
産業財産権の種類: 特許権
権利者: 東北大学
-
ニューラルネットワーク回路装置
馬奕涛, 遠藤哲郎
産業財産権の種類: 特許権
権利者: 東北大学
-
集積回路装置
遠藤哲郎, 池田正二, 小池洋紀
特許7168241
産業財産権の種類: 特許権
権利者: 東北大学
-
磁気トンネル接合素子、磁気トンネル接合素子の製造方法、及び、磁気メモリ
西岡浩一, 遠藤哲郎, 池田正二, 本庄弘明, 佐藤英夫, 三浦貞彦
特許7173614
産業財産権の種類: 特許権
権利者: 東北大学
-
磁気抵抗効果素子及び磁気メモリ
本庄弘明, 遠藤哲郎, 池田正二, 佐藤英夫, 西岡浩一
産業財産権の種類: 特許権
権利者: 東北大学
-
磁気抵抗効果素子及び磁気メモリ
渡部杏太, 深見俊輔, 佐藤英夫, 大野英男, 遠藤哲郎
特許7169683
産業財産権の種類: 特許権
権利者: 東北大学
-
電子デバイスの評価方法および評価装置
丹羽正昭, 遠藤哲郎, 池田正二
特許7154531
産業財産権の種類: 特許権
権利者: 東北大学
-
電力変換システム、電力変換装置、電力変換方法、発電システム、有効電力授受システム、電力系統、電力授受システム、負荷システム及び送配電システム
加藤修治, 高橋良和, 遠藤哲郎
特許7168240
産業財産権の種類: 特許権
権利者: 東北大学
-
電力用開閉装置、送配電システム、発電システム、負荷システム、機械式スイッチ、及び電力用開閉装置の制御方法
加藤修治, 遠藤哲郎
産業財産権の種類: 特許権
権利者: 東北大学
-
磁気抵抗効果素子及び磁気メモリ
齋藤好昭, 池田正二, 佐藤英夫, 遠藤哲郎
産業財産権の種類: 特許権
権利者: 東北大学
-
電力変換装置、発電システム、モータドライブシステム及び電力連系システム
加藤修治, 遠藤哲郎
特許7177500
産業財産権の種類: 特許権
権利者: 東北大学
-
磁気抵抗効果素子、回路装置及び回路ユニット
深見俊輔, クレンコフ アレクサンダー, ボーダーズ ウィリアム アンドリュー, 大野英男, 遠藤哲郎
特許7168231
産業財産権の種類: 特許権
権利者: 東北大学
-
磁気抵抗効果素子及び磁気メモリ
佐藤英夫, 石川慎也, 深見俊輔, 大野英男, 遠藤哲郎
産業財産権の種類: 特許権
権利者: 東北大学
-
抵抗変化型メモリ装置の読み出し回路及びその読み出し方法
田野井聡, 遠藤哲郎
特許7114097
産業財産権の種類: 特許権
権利者: 東北大学
-
メモリ回路デバイス及びその使用方法
羽生貴弘, 鈴木大輔, 大野英男, 遠藤哲郎
特許7114096
産業財産権の種類: 特許権
権利者: 東北大学
-
クラスタリングの評価値算出方法及びクラスタ数決定方法
遠藤哲郎, 沈暉
産業財産権の種類: 特許権
権利者: 東北大学
-
クラスタリング装置及びクラスタリング方法
遠藤哲郎, 沈暉, 馬奕涛
産業財産権の種類: 特許権
権利者: 東北大学
-
三次元構造体の製造方法、縦型トランジスタの製造方法、縦型トランジスタ用ウェーハおよび縦型トランジスタ用基板
遠藤哲郎
産業財産権の種類: 特許権
権利者: 東北大学
-
磁気抵抗効果素子及び磁気メモリ
西岡浩一, 遠藤哲郎, 池田正二, 本庄弘明, 佐藤英夫, 大野英男
特許7055303
産業財産権の種類: 特許権
権利者: 東北大学
-
メモリ装置
遠藤哲郎, 大友康寛
特許6995377
産業財産権の種類: 特許権
権利者: 東北大学
-
磁気抵抗効果素子、磁気メモリ及び磁気抵抗効果素子の製造方法
本庄弘明, 遠藤哲郎, 池田正二, 佐藤英夫, 大野英男
特許7018652
産業財産権の種類: 特許権
権利者: 東北大学
-
バイアス回路及び増幅装置
田野井聡, 遠藤哲郎
特許7005022
産業財産権の種類: 特許権
権利者: 東北大学
-
スイッチング回路装置、降圧型DC―DCコンバータ及び素子ユニット
伊藤一樹, 遠藤哲郎
特許7011831
産業財産権の種類: 特許権
権利者: 東北大学
-
磁気トンネル接合素子およびその製造方法
本庄弘明, 遠藤哲郎, 池田正二, 佐藤英夫, 大野英男
特許7002134
産業財産権の種類: 特許権
権利者: 東北大学
共同研究・競争的資金等の研究課題 145
-
次世代X-nics半導体創生拠点形成事業/スピントロニクス融合半導体創出拠点
2022年6月 ~ 2031年3月
-
スピントロニクス・二次元物質の縦型素子
2023年4月 ~ 2027年3月
-
スマートエネルギーマネジメントシステムの構築/サブ課題B (エネルギー生産・変換・貯蔵・輸送)/B3 系統安定化をサポートするUSPMによるインテリジェントパワエレシステムの開発
2023年4月 ~ 2027年3月
-
不揮発性ワーキングメモリとその製造技術開発を目指したSTT-MRAMの研究開発
2014年3月 ~ 2026年3月
-
Research and Development of electrical characteristics evaluation technologies for STT-MRAM aimed at developing non-volatile working memory
2014年3月 ~ 2026年2月
-
パワエレ回路システム領域/「脱炭素社会実現に向けた集積化パワーエレクトロニクスの研究開発」
2021年7月 ~ 2025年3月
-
走査電子顕微法による半導体検査計測技術の開発
2020年4月 ~ 2025年3月
-
パワーエレクトロニクスの集積回路技術
2021年9月 ~ 2024年8月
-
12インチプロセスにおけるスピンメモリスタの製造・評価技術の開発
2023年4月 ~ 2024年3月
-
CoFeB酸化防止技術の開発
2022年10月 ~ 2024年3月
-
次世代パワー半導体モジュール開発
2022年4月 ~ 2024年3月
-
Unit Process Development of New Semiconductor Memory Manufacturing
2021年8月 ~ 2024年3月
-
AIエッジコンピューティングの産業応用加速のための設計技術開発/「CMOS/スピントロニクス融合技術によるAI処理半導体の設計効率化と実証、及び、その応用技術に関する研究開発」
2021年7月 ~ 2024年3月
-
次世代パワーモジュールなどに最適な新規接合材料(ナノAgなど)およびインターポーザの開発、評価、解析と実用化研究
2021年4月 ~ 2024年3月
-
将来的なモジュール構造の概念設計と基板デザイン及びデバイス評価
2020年4月 ~ 2024年3月
-
MRAMの応用ソフトウェアに関する共同研究
2019年8月 ~ 2024年3月
-
MRAM制御ソフトウェアに関する共同研究
2019年7月 ~ 2024年3月
-
STT-MRAMおよび不揮発性ロジックデバイスの製造技術および設計技術の開発
2018年11月 ~ 2024年3月
-
パワーエレクトロニクスの高度実装インテグレーション技術の研究
2018年10月 ~ 2024年3月
-
脳型演算処理回路技術の研究開発
2018年6月 ~ 2024年3月
-
パワーモジュール用放熱材料の研究開発
2018年4月 ~ 2024年3月
-
GaNデバイスを用いた次世代電装コンポーネント技術の確立
2018年4月 ~ 2024年3月
-
MRAMプロセスにおけるコーティング膜の耐久性初期検討
2018年1月 ~ 2024年3月
-
超高集積パワーユニットに関する調査探求
2017年12月 ~ 2024年3月
-
Full-auto wafer probing system for MRAM
2017年4月 ~ 2024年3月
-
Thinning and singulation of STT-MRAM
2014年12月 ~ 2024年3月
-
Development of nanofabrication techniques by ion beam
2014年4月 ~ 2024年3月
-
不揮発性ワーキングメモリとその製造技術開発を目指したSTT-MRAMの研究開発
2014年4月 ~ 2024年3月
-
finFET型及びGAA型先端デバイスにおけるシリコン基板の特性及び機能の研究
2011年4月 ~ 2024年3月
-
次世代ICハンドラーのためのAIプログラムとそのプロトタイプの研究開発
2023年3月 ~ 2024年2月
-
3D金属積層を活用した、パワーエレクトロニクス回路の空冷放熱デバイスの軽量化、小型化
2021年12月 ~ 2023年11月
-
MTJ/CMOS Hybrid技術による待機電力不要システム研究、及びその耐環境性試験
2022年4月 ~ 2023年3月
-
ポスト5G情報通信システム基盤強化研究開発事業/先導研究(助成)/半導体プロセス1.5㎚ノード以降の不揮発性MRAMの微細加工基盤技術の開発
2021年9月 ~ 2023年3月
-
新規接合材の次世代パワーモジュール実装への適用研究
2021年4月 ~ 2023年3月
-
MRAM及びGaN on Siデバイスの車載適用時の効果と課題の検証
2018年2月 ~ 2023年3月
-
MRAMおよびGaN on Siデバイスの車載応用研究
2018年2月 ~ 2023年3月
-
MRAMのための全自動プロービングシステム
2017年4月 ~ 2023年3月
-
Research and Development of precious metal materials, methods of its recovery and purification on STT-MRAM fabrication process
2015年8月 ~ 2023年3月
-
STT-MRAMのダイシング技術に関する研究
2014年12月 ~ 2023年3月
-
Research and Development of STT-MRAM testing technology
2014年10月 ~ 2023年3月
-
不揮発性ワーキングメモリとその製造技術開発を目指したSTT-MRAMの研究開発
2013年10月 ~ 2023年3月
-
スピントロニクスを用いた人工知能ハードウェアパラダイムの創成
大野 英男, 遠藤 哲郎, 鈴木 大輔, 佐藤 茂雄, 堀尾 喜彦, 深見 俊輔
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research
研究種目:Grant-in-Aid for Specially Promoted Research
研究機関:Tohoku University
2017年4月25日 ~ 2022年3月31日
-
脱炭素社会実現に向けた集積化パワーエレクトロニクスの研究開発
2021年7月 ~ 2022年3月
-
宇宙線の半導体への影響
2021年5月 ~ 2022年3月
-
「スピントロニクス/CMOS Hybrid LSIの設計技術及びソフトウェア開発と実用化」
2020年9月 ~ 2022年3月
-
MRAMデバイスにおけるロジック回路の設計技術とPDKの技術開発
2019年10月 ~ 2022年3月
-
サブテーマⅡ:超低消費電力IoT デバイス・革新的センサ技術/低消費電力MTJ/CMOS Hybrid IoT デバイス基盤技術の研究開発
2018年11月 ~ 2022年3月
-
画像処理系システムLSIのための低電圧、高速動作MRAM技術に関する研究
2018年4月 ~ 2022年3月
-
MRAMテスティングシステム用電磁石の開発
2017年9月 ~ 2022年3月
-
窒化物半導体の自立基板を用いた高耐圧縦型電子デバイスの開発
2017年4月 ~ 2022年3月
-
MRAMのための全自動プロービングシステム
2017年4月 ~ 2022年3月
-
Siパワーデバイス技術の活用を容易にする基盤プロセスの研究
2017年2月 ~ 2022年3月
-
半導体集積デバイス向け二次元電子・スピン材料研究拠点
2016年10月 ~ 2022年3月
-
InP系ヘテロ構造バイポーラトランジスタの高性能化を目指した物理モデルの構築
2016年4月 ~ 2022年3月
-
STT-MRAMのパターニング技術に関する研究
2014年7月 ~ 2022年3月
-
先端デバイス向けシリコン基板技術に関する研究
2011年7月 ~ 2022年3月
-
戦略的省エネルギー技術革新プログラム/実用化開発/アフターコロナ時代の感染ハザードマップのための高速人物位置同定AIマイコンを用いた非接触多人数対応AI検温カメラの開発
2021年1月 ~ 2021年12月
-
Logic LSIに向けた縦型BC-MOS FETに関する研究
2017年6月 ~ 2021年9月
-
次世代マルチレベルコンバータ向け回路トポロジー/制御の研究
2020年8月 ~ 2021年3月
-
組込みシステムセキュリティ技術の研究開発
2020年6月 ~ 2021年3月
-
不揮発性ワーキングメモリとその製造技術開発を目指したSTT-MRAMの研究開発
2018年6月 ~ 2021年3月
-
世界の知を呼び込むIT/輸送システム分野融合型エレクトロニクス技術の創出
2016年10月 ~ 2021年3月
-
不揮発性ワーキングメモリとその製造技術開発を目指したSTT-MRAMの研究開発
2015年8月 ~ 2021年3月
-
不揮発性ワーキングメモリとその製造技術開発を目指したSTT-MRAMの研究開発
2014年10月 ~ 2021年3月
-
STT-MRAMの測定技術に関する研究
2013年11月 ~ 2021年3月
-
MTJ/CMOS Hybrid技術による待機電力不要システム研究、及びその耐環境性試験
2020年1月 ~ 2020年12月
-
不揮発性ワーキングメモリとその製造技術開発を目指したSTT-MRAMの研究開発
2018年9月 ~ 2020年3月
-
省エネルギー集積回路に関する研究
2016年7月 ~ 2020年3月
-
MTJの信頼性に関する研究
2016年7月 ~ 2020年3月
-
STT-MRAMのプローバー技術に関する研究
2016年7月 ~ 2020年3月
-
無充電で長時間使用できる究極のエコIT機器の実現
2015年10月 ~ 2020年3月
-
MTJ解析手法の開発
2015年4月 ~ 2020年3月
-
不揮発性ワーキングメモリとその製造技術開発を目指したSTT-MRAMの研究開発
2014年8月 ~ 2020年3月
-
不揮発性ワーキングメモリとその製造技術開発を目指したSTT-MRAMの研究開発
2014年4月 ~ 2020年3月
-
縦型デバイスにおけるシリコン基板の特性及び機能の研究
2011年4月 ~ 2020年3月
-
大容量無線通信用途のN極性GaN HEMT の結晶構造設計・評価技術に関する研究
2019年4月 ~ 2020年2月
-
STT-MRAMのエンデュランス耐性及びリテンション特性と動作下限電圧の実力評価
2018年9月 ~ 2019年8月
-
STT-MRAMのメモリテスター技術に関する研究
2014年10月 ~ 2019年3月
-
垂直磁化膜STT-MRAMの信頼性因子に関する統一的モデルの構築
2017年6月 ~ 2018年12月
-
STT-MRAM向け洗浄プロセスに関する研究
2014年7月 ~ 2018年6月
-
STT-MRAMの磁場印可技術に関する研究
2015年7月 ~ 2018年3月
-
STT-MRAMの評価分析技術に関する研究
2014年7月 ~ 2018年3月
-
縦型BC-MOSFET による三次元集積工学と応用展開
2014年4月 ~ 2018年3月
-
STT-MRAM測定技術の研究開発
2013年11月 ~ 2018年3月
-
STT-MRAMの測定装置に関する研究
2013年7月 ~ 2018年3月
-
STT-MRAMの製造プロセス技術に関する研究
2013年7月 ~ 2018年3月
-
プローブ先端部研磨による再生方法にかかる学術指導
2015年11月 ~ 2017年3月
-
不揮発性ワーキングメモリとその製造技術開発を目指したSTT-MRAMの研究開発
2015年4月 ~ 2017年3月
-
STT-MRAMとその混載プロセス技術に関する研究
2015年4月 ~ 2017年3月
-
GaN双方向電力変換機器の研究開発
2014年4月 ~ 2017年3月
-
MRAMの開発及び出荷テストに用いる磁気特性電気特性評価装置の開発
2015年12月 ~ 2017年2月
-
GaN双方向電力変換器に関する研究
2014年9月 ~ 2017年2月
-
p-MTJパータンイングプロセスメカニズムの研究
2016年2月 ~ 2017年1月
-
MTJメモリセル評価と開発エリア特定及びオイル応用に関する研究
2015年3月 ~ 2016年12月
-
スピントロ二クスに基づくポストDRAMの高集積化・製造技術の研究
2012年6月 ~ 2016年9月
-
微細セルトランジスタのCAD解析技術の研究
2011年4月 ~ 2016年9月
-
3次元構造のトランジスタに基づく回路・デバイス・CAD技術の研究
2008年4月 ~ 2016年9月
-
スピントロニクスメモリの製造プロセス装置及びその製造プロセス技術に関する研究
2011年7月 ~ 2016年3月
-
3次元構造トランジスタに基づく回路・デバイス・CAD技術の研究
2011年7月 ~ 2016年3月
-
STT-MRAMとアプリケーションの研究開発
2014年4月 ~ 2015年3月
-
STT-MRAMとその応用の研究開発
2014年2月 ~ 2015年3月
-
次世代モバイルオブジェクトおよび電化製品の画像処理技術に関する基礎研究
2013年4月 ~ 2015年3月
-
次世代モバイルオブジェクトおよび電化製品の画像処理技術に関する基礎研究
2013年4月 ~ 2015年3月
-
国際産学連携集積エレクトロニクス研究開発拠点の構築と宮城発イノベーションの促進
2013年2月 ~ 2015年3月
-
省エネ社会へ向けた磁気トンネル接合素子とその量産技術の開発
2012年4月 ~ 2014年3月
-
スピントロニクスメモリの製造プロセス装置及びその製造プロセス技術に関する研究
2011年4月 ~ 2014年3月
-
縦型デバイスにおけるシリコン基板の特性及び機能の研究
2011年4月 ~ 2014年3月
-
微細セルトランジスタのCAD解析技術の研究
2011年4月 ~ 2014年3月
-
低消費電力・スピントロニクス論理集積回路の開発
2010年3月 ~ 2014年3月
-
縦型ボディーチャネルMOSFETとその集積プロセスの開発
2008年10月 ~ 2014年3月
-
Development of Technology and Modeling of New 3-Dimenstional Flashg Memory Cell/Research of non-volatility memory for next generation
2011年7月 ~ 2013年3月
-
ポストDRAM技術に関する研究
2011年4月 ~ 2013年3月
-
3次元構造のトランジスタに基づく回路・デバイス・CAD技術の研究
2007年4月 ~ 2012年3月
-
高密度不揮発性メモリデバイスに関する研究
2006年4月 ~ 2012年3月
-
スピントロニクス素子におけるスピン反転の中間状態観測とその制御経路の探索
遠藤 哲郎
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research
研究種目:Grant-in-Aid for Challenging Exploratory Research
研究機関:Tohoku University
2011年 ~ 2012年
-
Vertical Device and its Process
2010年4月 ~ 2011年3月
-
高速データ書き込み性能を有する超大容量3次元構造不揮発性半導体メモリの開発
2007年8月 ~ 2011年3月
-
縦型構造の電荷蓄積膜方式セルを積層した超高密度不揮発性半導体メモリの製造技術の開発
2008年4月 ~ 2010年3月
-
高機能・超低消費電力コンピューチィングのためのデバイス・システム基盤技術の研究開発
2007年8月 ~ 2010年3月
-
微細縦型トランジスタに関する研究
2005年4月 ~ 2010年3月
-
極微細構造シリコン結晶の電子物性に基づくナノスケール半導体デバイスに関する研究
遠藤 哲郎, 末光 眞希, 知京 豊裕, 中山 隆史, 山田 啓作, 品田 賢宏
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research
研究種目:Grant-in-Aid for Scientific Research (A)
研究機関:Tohoku University
2007年 ~ 2010年
-
高周波回路の高効率・高精度測定に関する研究
2006年4月 ~ 2009年3月
-
次世代集積回路のCAD技術に関する研究
2004年4月 ~ 2009年3月
-
縦型トランジスタに関する研究
2004年4月 ~ 2009年3月
-
第一原理量子論によるナノデバイス材料・界面の物性予測
白石 賢二, 押山 淳, 村口 正和, 岡田 晋, 山内 淳, 中山 隆史, BOERO Mauro, 野村 晋太郎, 遠藤 哲郎, BERBER Savas
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research
研究種目:Grant-in-Aid for Scientific Research on Priority Areas
研究機関:University of Tsukuba
2006年 ~ 2009年
-
デバイス特性揺らぎにRobustな20GHz動作超高速ロジック回路の開発
2007年8月 ~ 2008年3月
-
Vertical Field Effect Device and its Process
2007年4月 ~ 2008年3月
-
不揮発性半導体メモリの高性能化に関する研究
2005年4月 ~ 2008年3月
-
次世代CMOSプロセスを基礎付けるSi(110)表面酸化機構の解明と電気特性
末光 眞希, 寺岡 有殿, 朝岡 秀人, 遠藤 哲郎
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research
研究種目:Grant-in-Aid for Scientific Research (B)
研究機関:Tohoku University
2007年 ~ 2008年
-
デバイス設計の自由度を向上させる構造を有する縦型トランジスタに関する研究
遠藤 哲郎
2006年 ~ 2008年
-
20GHz動作を目指す超高速ロジック回路技術の開発
2006年4月 ~ 2007年3月
-
高駆動・低電圧動作なオーミック接合・基板接地型有機トランジスタの設計
2006年4月 ~ 2007年3月
-
導体集積回路の高精度測定システムに関する研究
2004年4月 ~ 2007年3月
-
ナノ構造デバイス材料技術に関する研究
2005年4月 ~ 2006年3月
-
立体チャネルMOSFET・縦型MOSFETによる駆動力向上・ゲート制御性向上・特性ばらつき抑制技術に関する先導調査
2005年4月 ~ 2006年3月
-
30GHz動作集積回路用シリコン超高速MOS回路技術の開発
2005年4月 ~ 2006年3月
-
有機/有機ヘテロエピタキシーを利用した高品質有機半導体薄膜の作製
2005年4月 ~ 2006年3月
-
3次元デバイスを用いた高機能通信用集積回路の研究開発
2000年1月 ~ 2002年3月
-
半導体集積回路におけるデバイス・システムの研究 競争的資金
制度名:Cooperative Research
1995年4月 ~ 1999年3月
-
3次元MOSデバイスを用いた超高性能3次元集積回路に関する研究
遠藤 哲郎, 桜庭 弘, 舛岡 富士雄
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research
研究種目:Grant-in-Aid for Scientific Research (B)
研究機関:TOHOKU UNIVERSITY
1998年 ~ 1999年
-
電気的解析手法と構造的解析手法の融合によるシリコン酸化膜の破壊機構に関する研究
舛岡 富士雄, 桜庭 弘, 遠藤 哲郎
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research
研究種目:Grant-in-Aid for Scientific Research (A)
研究機関:TOHOKU UNIVERSITY
1998年 ~ 1999年
-
集積回路に用いられるナノメータ・スケールMOSトランジスタの研究
舛岡 富士雄, 桜庭 弘, 遠藤 哲郎
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research
研究種目:Grant-in-Aid for Scientific Research (B)
研究機関:TOHOKU UNIVERSITY
1997年 ~ 1999年
-
ナノ構造による新機能材料とその応用に関する研究 競争的資金
制度名:Cooperative Research
1995年4月 ~ 1998年3月
-
超高集積回路に用いられる3次元トランジスタの動作機構の研究
遠藤 哲郎, 舛岡 富士雄
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research
研究種目:Grant-in-Aid for Scientific Research (B)
研究機関:TOHOKU UNIVERSITY
1996年 ~ 1998年
-
3次元構造デバイスとその回路アーキテクチャーに関する研究 競争的資金
制度名:International Joint Research Projects
1995年4月 ~ 1997年3月
担当経験のある科目(授業) 129
-
熱学・統計力学A 東北大学
-
電気・通信工学特別講義A/電子工学特別講義A 東北大学
-
パワーエレクトロニクス応用工学 東北大学
-
創造工学研修 東北大学
-
アドバンス創造工学研修 東北大学
-
創造工学研修 東北大学
-
熱学・統計力学A 東北大学
-
電気・通信工学特別講義A/電子工学特別講義A 東北大学
-
パワーエレクトロニクス応用工学 東北大学
-
アドバンス創造工学研修 東北大学
-
グリーンデバイス工学 東北大学
-
パワーエレクトロニクス応用工学 東北大学
-
電気・通信工学特別講義A/電子工学特別講義A 東北大学
-
熱学・統計力学A 東北大学
-
創造工学研修 東北大学
-
アドバンス創造工学研修 東北大学
-
パワーエレクトロニクス応用工学 東北大学
-
電気・通信工学特別講義A/電子工学特別講義A 東北大学
-
熱学・統計力学A 東北大学
-
創造工学研修 東北大学
-
アドバンス創造工学研修 東北大学
-
グリーンデバイス工学 東北大学
-
パワーエレクトロニクス応用工学 東北大学
-
電気・通信工学特別講義A/電子工学特別講義A 東北大学
-
アドバンスト創造工学研修 東北大学
-
創造工学研修 東北大学
-
パワーエレクトロニクス応用工学 東北大学
-
電気・通信工学特別講義A/電子工学特別講義A 東北大学
-
熱学・統計力学A 東北大学
-
アドバンスト創造工学研修 東北大学
-
創造工学研修 東北大学
-
パワーエレクトロニクス工学 東北大学
-
電気・通信工学特別講義A/電子工学特別講義A 東北大学
-
アドバンスト創造工学研修 東北大学
-
グリーンデバイス工学 東北大学
-
熱学・統計力学A 東北大学
-
創造工学研修 東北大学
-
パワーエレクトロニクス工学 東北大学
-
電気・通信工学特別講義A/電子工学特別講義A 東北大学
-
アドバンスト創造工学研修 東北大学
-
半導体デバイス 東北大学
-
グリーンデバイス工学 東北大学
-
熱学・統計力学A 東北大学
-
創造工学研修 東北大学
-
パワーエレクトロニクス工学 東北大学
-
電気・通信工学特別講義A/電子工学特別講義A 東北大学
-
アドバンスト創造工学研修 東北大学
-
半導体デバイス 東北大学
-
グリーンデバイス工学 東北大学
-
熱学・統計力学A 東北大学
-
創造工学研修 東北大学
-
パワーエレクトロニクス工学 東北大学
-
電気・通信工学特別講義A/電子工学特別講義A 東北大学
-
アドバンスト創造工学研修 東北大学
-
半導体デバイス 東北大学
-
グリーンデバイス工学 東北大学
-
熱学・統計力学A 東北大学
-
創造工学研修 東北大学
-
パワーエレクトロニクス工学 東北大学
-
電気・通信工学特別講義A/電子工学特別講義A 東北大学
-
半導体デバイス 東北大学
-
グリーンデバイス工学 東北大学
-
熱学・統計力学A 東北大学
-
創造工学研修 東北大学
-
パワーエレクトロニクス工学 東北大学
-
電気・通信工学特別講義A/電子工学特別講義A 東北大学
-
半導体デバイス 東北大学
-
グリーンデバイス工学 東北大学
-
熱学・統計力学A 東北大学
-
創造工学研修 東北大学
-
ナノ集積回路工学 名古屋大学
-
知能集積回路工学 東京大学
-
最先端LSIシステム工学特論 筑波大学
-
電気・通信工学特別講義A/電子工学特別講義A 東北大学
-
マイクロエレクトロニクス 東北大学
-
半導体工学 東北大学
-
半導体デバイス 東北大学
-
熱学・統計力学A 東北大学
-
創造工学研修 東北大学
-
知能集積回路工学 東京大学
-
最先端LSIシステム工学特論 筑波大学
-
電気・通信工学特別講義A/電子工学特別講義A 東北大学
-
マイクロエレクトロニクス 東北大学
-
半導体工学 東北大学
-
半導体デバイス 東北大学
-
熱学・統計力学A 東北大学
-
創造工学研修 東北大学
-
最先端LSIシステム工学特論 筑波大学
-
マイクロエレクトロニクス 東北大学
-
半導体デバイス 他大学
-
熱学・統計力学A 東北大学
-
創造工学研修 東北大学
-
最先端LSIシステム工学特論 筑波大学
-
半導体デバイス 東北大学
-
半導体デバイス 他大学
-
集積回路工学 他大学
-
熱学・統計力学A 東北大学
-
創造工学研修 東北大学
-
最先端LSIシステム工学特論 筑波大学
-
マイクロエレクトロニクス 東北大学
-
半導体デバイス 他大学
-
集積回路工学 他大学
-
創造工学研修 東北大学
-
半導体デバイス 東北大学
-
最先端LSIシステム工学特論 筑波大学
-
電子回路Ⅰ演習 他大学
-
電子回路Ⅰ 他大学
-
集積回路工学 他大学
-
熱学・統計力学A 東北大学
-
最先端LSIシステム工学特論 筑波大学
-
熱学・統計力学A 東北大学
-
マイクロエレクトロニクス 東北大学
-
半導体デバイス 東北大学
-
熱学・統計力学A 東北大学
-
半導体デバイス 東北大学
-
熱学・統計力学A 東北大学
-
マイクロエレクトロニクス 東北大学
-
半導体デバイス 東北大学
-
熱学・統計力学A 東北大学
-
半導体デバイス 東北大学
-
半導体デバイス 東北大学
-
半導体デバイス 東北大学
-
マイクロエレクトロニクス 東北大学
-
半導体デバイス 東北大学
-
物理学Ⅲ 東北大学
-
半導体デバイス 東北大学
-
物理学Ⅲ 東北大学
-
マイクロエレクトロニクス 東北大学
-
半導体デバイス 東北大学
メディア報道 463
-
スピントロニクスハンドブック~基礎から応用まで~
NTS
2023年5月23日
-
スピントロニクスハンドブック~基礎から応用まで~
新電力ネット
2023年5月23日
メディア報道種別: インターネットメディア
-
UPWARDS for the Future(半導体の未来に向けた人材育成と研究開発のための日米大学パートナーシップ)を創設
PRTIMES
2023年5月22日
-
「日米大学パートナーシップUPWARDS for the Future」覚書を締結
東北大学ニュース
2023年5月22日
-
IBMやGoogleなど、日米の大学に290億円 量子・半導体
日本経済新聞
2023年5月21日
-
日米による画期的な教育パートナーシップの立ち上げ
駐日米国大使館プレスリリース
2023年5月21日
-
UPWARDS for the Future(半導体の未来に向けた人材育成と 研究開発のための日米大学パートナーシップ)を創設
マイクロンプレスリリース
2023年5月21日
-
スピントロニクスハンドブック-基礎から応用まで
ヨドバシ
2023年5月16日
-
スピントロニクスハンドブック 基礎から応用まで
楽天ブックス
2023年5月16日
メディア報道種別: インターネットメディア
-
スピントロニクスハンドブック ~基礎から応用まで~
イプロスものづくり
2023年5月16日
-
スピントロニクスハンドブック 基礎から応用まで
SHOSEN ONLINE
2023年5月16日
メディア報道種別: インターネットメディア
-
スピントロニクスハンドブック 基礎から応用まで
honto
2023年5月16日
メディア報道種別: インターネットメディア
-
スピントロニクスハンドブック: 基礎から応用まで
amazon
2023年5月16日
メディア報道種別: インターネットメディア
-
東経連が官学と戦略会議開催、新興連携など意見交換
日本経済新聞電子版
2023年4月25日
-
【大学】特許総合力ランキング トップ3は東京大学、東北大学、大阪大学
Patent Result
2023年4月24日
-
東北の半導体産業 人材育成に力
朝日新聞デジタル
2023年4月15日
-
国際シンポジウム 「DX×半導体×モノづくりが切り拓く私たちの未来」報告
TFC×TEL協働プログラム
2023年4月12日
-
東北大学連携視察ツアーを開催
LINK-J
2023年4月10日
-
半導体人材不足の裏で「圧倒的」求人数、無策の30年を取り戻せるか
日経クロステック
2023年4月10日
-
スピントロニクスでこれまで見えなかったものをみる!東北大学工学部が紡ぐ未来への物語
東北大学工学部ホームページ
2023年3月25日
メディア報道種別: その他
-
Sächsisch-japanische Kompetenzschau zur Mikroelektronik
all-electronics
2023年2月17日
メディア報道種別: インターネットメディア
-
【3月15日 名城大学×東北大学 特別シンポジウム】ノーベル賞候補のトップ研究者3氏が、大学と産業界の未来を熱く語り合う
河北新報 ONLINE
2023年2月16日
メディア報道種別: インターネットメディア
-
【特集】ティアンドエス Research Memo(2):トータルソリューションを提供する独立系ソフトウェア受託開発企業(1)
Kabutan
2023年2月16日
メディア報道種別: インターネットメディア
-
【特集】ティアンドエス Research Memo(8):顧客ファースト、オンリーワン技術、経営基盤強化の3本柱
Kabutan
2023年2月13日
メディア報道種別: インターネットメディア
-
Sächsisch-japanischer Workshop zu Mikroelektronik: Auftakt für verstärkte Zusammenarbeit
medienservice.sachsen
2023年2月9日
メディア報道種別: インターネットメディア
-
半導体人材育成、東北も本腰 学生や社会人向け講座始動
日本経済新聞 電子版
2023年1月30日
メディア報道種別: インターネットメディア
-
東北大学が6重界面磁気トンネル接合素子、1nm世代ルールに適合
日経クロステック
2023年1月6日
メディア報道種別: インターネットメディア
-
Japan's Tohoku University grows as dream location for chip researchers
NIKKEI Asia
2023年1月1日
メディア報道種別: インターネットメディア
-
半導体テクノロジシンポジウム 画期的な技術、産学官金で共創
日本経済新聞 電子版
2022年12月26日
メディア報道種別: インターネットメディア
-
Xnm世代プロセスに適合するiPMA Hexa-MTJを開発
Yahoo!ニュース
2022年12月20日
メディア報道種別: インターネットメディア
-
社会的なインパクトの大きさに高評価、最優秀賞は名工大のEV充電器技術
日経クロステック
2022年12月19日
メディア報道種別: インターネットメディア
-
「記憶」の遺伝子を継ぐ ミスター半導体の子どもたち
日本経済新聞 電子版
2022年12月18日
メディア報道種別: インターネットメディア
-
永岡桂子文部科学大臣記者会見録(令和4年12月16日)
YouTube
2022年12月16日
メディア報道種別: インターネットメディア
-
Pコンピューター実現へ大きな一歩 確率動作スピン素子と半導体回路を組み合わせ
科学新聞
2022年12月16日
メディア報道種別: 新聞・雑誌
-
量子級計算、小型機器で 東北大など、実用化へ技術
日本経済新聞 電子版
2022年12月13日
メディア報道種別: インターネットメディア
-
Xnm世代プロセスに適合するiPMA Hexa-MTJを開発
IT media
2022年12月12日
メディア報道種別: インターネットメディア
-
【東北大学】確率動作スピン素子を用いた高性能・省電力「P」コンピューターを実証
HPC Wire Japan
2022年12月12日
-
Xnm 世代プロセスに適合する iPMA Hexa-MTJ を開発
TechEyes online
2022年12月12日
メディア報道種別: インターネットメディア
-
CoFeBとMgOの界面数を6重へ Xnm世代プロセスに適合するiPMA Hexa-MTJを開発
EE Times Japan
2022年12月12日
メディア報道種別: インターネットメディア
-
Researchers Develop a Scaled-up Spintronic Probabilistic Computer
sciencesprings
2022年12月10日
メディア報道種別: インターネットメディア
-
Researchers Develop Scaled-up Spintronic Probabilistic Computer
ACM TECHNEWS
2022年12月9日
メディア報道種別: インターネットメディア
-
機械学習や組合せ最適化に適した高性能/省電力「P」コンピューターを開発 東北大学ら
fabcross
2022年12月8日
メディア報道種別: インターネットメディア
-
東北大、スピンとFPGAを用いて高性能・省電力「P」コンピュータを実証
Rakuten Infoseek News
2022年12月8日
メディア報道種別: インターネットメディア
-
東北大、スピンとFPGAを用いて高性能・省電力「P」コンピュータを実証
マイナビニュース
2022年12月8日
メディア報道種別: インターネットメディア
-
Scientists developed a scaled-up version of a probabilistic computer
Teche Xplorist
2022年12月8日
メディア報道種別: インターネットメディア
-
25-nm iPMA Hexa-MTJ technology for scalable eFlash type STT-MRAM
News8Plus
2022年12月7日
メディア報道種別: インターネットメディア
-
Researchers to Showcase 25-nm iPMA Hexa-MTJ Technology for Scalable eFlash Type STT-MRAM
ACM TechNews
2022年12月7日
メディア報道種別: インターネットメディア
-
Researchers develop a scaled-up spintronic probabilistic computer
Eurek Alert!
2022年12月7日
メディア報道種別: インターネットメディア
-
Researchers Develop a Scaled-up Spintronic Probabilistic Computer
.asia research news
2022年12月7日
メディア報道種別: インターネットメディア
-
Researchers develop a scaled-up spintronic probabilistic computer
Tech Xplore
2022年12月7日
メディア報道種別: インターネットメディア
-
東北大、確率動作スピン素子を用いた高性能・省電力「P」コンピューターを実証
日本経済新聞 電子版
2022年12月7日
メディア報道種別: インターネットメディア
-
25-nm iPMA Hexa-MTJ technology for scalable eFlash type STT-MRAM
Tech Xplore
2022年12月7日
メディア報道種別: インターネットメディア
-
"Researchers to Showcase 25 nm iPMA Hexa-MTJ Technology for Scalable eFlash Type STT-MRAM
.asia research news
2022年12月7日
-
東北大、6重界面の磁気トンネル接合素子開発 260℃ハンダ工程で書き換え耐性1000万回
日刊工業新聞 電子版
2022年12月7日
メディア報道種別: インターネットメディア
-
Researchers to showcase 25 nm iPMA Hexa-MTJ technology for scalable eFlash type STT-MRAM
Eurek Alert!
2022年12月6日
メディア報道種別: インターネットメディア
-
「半導体サプライチェーンは同盟国内で」と自民甘利氏、経済安保確立へ
日経クロステック
2022年12月5日
メディア報道種別: インターネットメディア
-
Researchers to Showcase 25 nm iPMA Hexa-MTJ Technology for Scalable eFlash Type STT-MRAM
reddit.
2022年12月5日
-
Researchers to Showcase 25 nm iPMA Hexa-MTJ Technology for Scalable eFlash Type STT-MRAM
The national tribune
2022年12月5日
メディア報道種別: インターネットメディア
-
Researchers to Showcase 25 nm iPMA Hexa-MTJ Technology for Scalable eFlash Type STT-MRAM
Mirage news
2022年12月5日
メディア報道種別: インターネットメディア
-
東北大、「6重界面界面垂直型強磁性磁気トンネル接合素子(iPMA Hexa-MTJ)」を開発
日本経済新聞 電子版
2022年12月5日
メディア報道種別: インターネットメディア
-
東北大、28日に半導体技術シンポ 東京・赤坂で開催
日刊工業新聞 電子版
2022年11月25日
メディア報道種別: インターネットメディア
-
東北大、半導体シンポ 28日開催
日本経済新聞電子版
2022年11月22日
メディア報道種別: インターネットメディア
-
東北大学が半導体シンポ 産業基盤復活へ産学連携
日本経済新聞電子版
2022年11月21日
メディア報道種別: インターネットメディア
-
東北大学がスピントロニクス研究を加速、半導体の消費電力を100分の1に
日経クロステック
2022年11月21日
メディア報道種別: インターネットメディア
-
東北大、半導体の消費電力100分の1に MRAM研究加速
日経テックフォーサイト
2022年11月14日
メディア報道種別: インターネットメディア
-
東北大学が着々と進めるリベンジ振興策
週刊 東洋経済
2022年11月12日
メディア報道種別: 新聞・雑誌
-
九州に負けじと動く東北、半導体の振興で再挑戦-好機を逃すまいと企業や教育機関が連携を強化-
東洋経済 ONLINE
2022年11月9日
メディア報道種別: インターネットメディア
-
仙台に半導体再興の芽 東北大学発スタートアップが成長
日経産業新聞
2022年10月31日
メディア報道種別: 新聞・雑誌
-
サーマルカメラをアイリスと開発 東北大発新興パワースピン- 4年後めど投入 自社設計の半導体搭載 -
日本経済新聞電子版
2022年10月27日
メディア報道種別: インターネットメディア
-
東北大学発新興、アイリスとサーマルカメラを研究開発へ
日本経済新聞電子版
2022年10月26日
メディア報道種別: インターネットメディア
-
神戸大学などがMRAM用素子の特性予測、材料探索効率化
日経XTECH
2022年10月6日
メディア報道種別: インターネットメディア
-
次世代パワエレへ材料進化
電子デバイス産業新聞
2022年10月6日
メディア報道種別: 新聞・雑誌
-
Spintronics enters the mainstream
Nature
2022年10月
メディア報道種別: 新聞・雑誌
-
粒子加速装置にも半導体化の波、急速充電器の課題に挑む研究も
日経クロステック
2022年9月16日
メディア報道種別: インターネットメディア
-
神戸大と東北大、スピントロニクス向け強磁性合金材料と二次元物質間の異種結晶界面の状態を第一原理計算で予測
日本経済新聞Web版
2022年9月6日
メディア報道種別: インターネットメディア
-
From bits to p-bits: One step closer to probabilistic computing
Science Daily
2022年8月29日
メディア報道種別: インターネットメディア
-
From bits to p-bits: one step closer to probabilistic computing
Eurek Alert
2022年8月28日
メディア報道種別: インターネットメディア
-
TSMCが次世代不揮発性メモリの研究成果を大量放出
PC Watch
2022年7月29日
メディア報道種別: インターネットメディア
-
東北大学発のスピントロニクス技術ベンチャー「パワースピン」、営業体制などを強化
マイナビニュース
2022年6月29日
メディア報道種別: テレビ・ラジオ番組
-
TSMCが新開発拠点 不足する半導体人材 争奪戦も…
2022年6月24日
メディア報道種別: テレビ・ラジオ番組
-
多田プレス工業ら4社、神奈川県の企業誘致施策で県内に本社・工場を新設
オートメーション新聞
2022年6月15日
メディア報道種別: テレビ・ラジオ番組
-
シリコンアイランド 争奪1200人(下) 半導体人材 どう育成
日本経済新聞Web版
2022年6月10日
メディア報道種別: テレビ・ラジオ番組
-
実学尊重で企業と接点 東北大学 国際集積エレクトロニクス研究開発センター長 遠藤哲郎氏
日本経済新聞Web版
2022年6月10日
メディア報道種別: テレビ・ラジオ番組
-
半導体人材育成「企業はビジョン語れ」 教育者に聞く
日本経済新聞Web版
2022年6月9日
メディア報道種別: テレビ・ラジオ番組
-
神奈川県内に4社が本社・工場新設 県の誘致策利用
日本経済新聞Web版
2022年6月6日
メディア報道種別: テレビ・ラジオ番組
-
田中副大臣がNanoTerasu(次世代放射光施設)の愛称披露式に出席し、東北大学を視察
文部科学省
2022年6月6日
メディア報道種別: テレビ・ラジオ番組
-
カーボンニュートラル社会に貢献するパワーエレクトロニクス技術
MAGNETICS JAPAN
2022年6月1日
メディア報道種別: テレビ・ラジオ番組
-
未来のコンピューティングの姿を変えうるもの スピントロニクス、東北大CIESの挑戦(2)
日経BP
2022年5月24日
メディア報道種別: テレビ・ラジオ番組
-
半導体のゲームチェンジャーとなるか スピントロニクス、東北大CIESの挑戦(1)
日経BP
2022年5月17日
メディア報道種別: テレビ・ラジオ番組
-
日本半導体復活に向け次世代研究・育成拠点、東大など3大学採択
日経クロステック
2022年4月18日
メディア報道種別: テレビ・ラジオ番組
-
次世代X-nics半導体創生拠点形成事業採択結果を公表 文部科学省
eduon!
2022年4月17日
メディア報道種別: テレビ・ラジオ番組
-
革新的半導体集積回路の創生に向けた拠点形成事業に東京大学ほか採択
先端教育
2022年4月13日
メディア報道種別: テレビ・ラジオ番組
-
次世代X-nics半導体創生拠点形成事業における採択結果の決定について
文部科学省
2022年4月12日
メディア報道種別: テレビ・ラジオ番組
-
文科省、次世代半導体の研究開発拠点に東大・東北大・東工大を選定…研究費を集中的に支援
読売新聞オンライン
2022年4月12日
メディア報道種別: テレビ・ラジオ番組
-
稲盛財団、東北大・深見教授らに研究助成
日刊工業新聞電子版
2022年3月24日
メディア報道種別: テレビ・ラジオ番組
-
東北大深見氏、京大藤田氏を支援 研究費1億円―稲盛財団
Yahoo! Japanニュース
2022年3月18日
メディア報道種別: テレビ・ラジオ番組
-
稲盛財団、1億円助成のフェローに東北大・深見氏ら
日本経済新聞電子版
2022年3月18日
メディア報道種別: テレビ・ラジオ番組
-
次世代超高密度MRAM記録層実現への新たな道筋
ブルカージャパン X線事業部ニュース
2022年3月8日
メディア報道種別: テレビ・ラジオ番組
-
ファンデルワールス力で異種結晶界面の結合に成功、次世代MRAMへの利用に期待、東北大など
5ちゃんねる
2022年3月4日
メディア報道種別: テレビ・ラジオ番組
-
ファンデルワールス力で異種結晶界面の結合に成功、次世代MRAMへの利用に期待
Mapionニュース
2022年3月3日
メディア報道種別: テレビ・ラジオ番組
-
Unveiling Chemisorbed Crystallographically Heterogeneous Graphene/FePd Interface
MIRAGE LATEST NEWS
2022年3月3日
メディア報道種別: テレビ・ラジオ番組
-
東北大ら、ファンデルワールス力で異種界面結合
Optonics online
2022年3月2日
メディア報道種別: テレビ・ラジオ番組
-
東北大など、ファンデルワールス力により異なる結晶界面を「つよく・しなやか」に結合できることを発見
日本経済新聞プレスリリース
2022年3月2日
メディア報道種別: テレビ・ラジオ番組
-
次世代メモリーMRAMは20年戦略 遠藤哲郎東北大教授 テクノロジストの時代
日本経済新聞電子版
2022年3月1日
メディア報道種別: テレビ・ラジオ番組
-
東北大発新興、省電力の半導体設計事業で首都圏に拠点
日本経済新聞電子版
2022年1月31日
メディア報道種別: テレビ・ラジオ番組
-
常識を覆す半導体メモリー「MRAM」でゲームチェンジ狙う。東北大発ベンチャーの勝算
Yahooニュース
2022年1月24日
メディア報道種別: テレビ・ラジオ番組
-
【ディープテックを追え】常識を覆す半導体メモリー、MRAMとは?
ニュースイッチ
2022年1月17日
メディア報道種別: テレビ・ラジオ番組
-
Latest Emerging Memory Developments At 2021 IEDM And MRAM Forum Including In Memory Computing
Forbes
2021年12月27日
メディア報道種別: テレビ・ラジオ番組
-
書き込み10ナノ秒以下 磁気トンネル接合素子 オングストローム世代対応
日刊工業新聞
2021年12月16日
メディア報道種別: テレビ・ラジオ番組
-
東北大とJST、オングストローム世代半導体製造技術での磁気抵抗メモリ基盤技術を確立
日本経済新聞 電子版
2021年12月14日
メディア報道種別: テレビ・ラジオ番組
-
Magnetic Tunnel Junction Technology for the Angstrom Semiconductor Era
EurekAlert!
2021年12月14日
メディア報道種別: テレビ・ラジオ番組
-
Researchers from Tohoku University developed the world's smallest STT-MRAM MTJ
MRAM-info
2021年12月14日
メディア報道種別: テレビ・ラジオ番組
-
Magnetic Tunnel Junction Technology for Angstrom Semiconductor Era
MIRAGE NEWS
2021年12月14日
メディア報道種別: テレビ・ラジオ番組
-
東北大など、ニューロンとシナプスの機能が一体化したスピン素子技術を開発
マイナビニュース
2021年12月2日
メディア報道種別: テレビ・ラジオ番組
-
東北大とJST、ニューロンとシナプスの機能が一体化されたスピントロニクス素子技術を開発
日本経済新聞 電子版
2021年11月30日
メディア報道種別: テレビ・ラジオ番組
-
Memristive spintronic neurons: Combining two cognitive computing nano-elements into one
EurekAlert!
2021年11月29日
メディア報道種別: テレビ・ラジオ番組
-
New discovery opens the way for brain-like computers
Science Daily
2021年11月29日
メディア報道種別: テレビ・ラジオ番組
-
東北大学発ベンチャーでスピントロニクス技術とパワーエレクトロニクス技術の社会実装の加速化を目指すパワースピン、資金調達を実施
Kabutan
2021年11月19日
メディア報道種別: テレビ・ラジオ番組
-
サブナノメートルのトランジスタ技術や8Gbitの大容量強誘電体メモリなどが登場するIEDM 2021
PC Watch
2021年11月16日
メディア報道種別: テレビ・ラジオ番組
-
半導体開発で産業育成を 東北大教授が講演
釧路新聞
2021年10月31日
メディア報道種別: テレビ・ラジオ番組
-
Hexagonal boron nitride as a tunnel barrier for ferromagnetic tunnel junctions
Nano Werk
2021年8月27日
メディア報道種別: テレビ・ラジオ番組
-
Advanced Ferromagnetic Tunnel Junction Using Two-dimensional Hexagonal-BN
mirage news
2021年8月26日
メディア報道種別: テレビ・ラジオ番組
-
MTJ素子の障壁材料に二次元物質を利用、1000%のTMR比を確認
EE Times Japan
2021年8月25日
メディア報道種別: テレビ・ラジオ番組
-
強磁性トンネル接合素子の障壁材料における二次元物質の可能性、東北大が調査
マイナビニュース
2021年8月23日
メディア報道種別: テレビ・ラジオ番組
-
東北大、CoとNの原子の相対位置関係により二次元物質と強磁性金属の界面の混成軌道による界面垂直磁気異方性強化を発見
日本経済新聞 電子版
2021年8月20日
メディア報道種別: テレビ・ラジオ番組
-
消費電力50分の1 次世代メモリー「MRAM」普及へ
日本経済新聞 電子版
2021年7月19日
メディア報道種別: テレビ・ラジオ番組
-
経営ひと言/東北大学・遠藤哲郎教授「コア技術必要」
日刊工業新聞 電子版
2021年6月22日
メディア報道種別: テレビ・ラジオ番組
-
STT-MRAM向け記憶素子、書き換え耐性6000億回超 東北大
日刊工業新聞 電子版
2021年6月9日
メディア報道種別: テレビ・ラジオ番組
-
New magnetic tunnel junction quad tech provides endurance and reliable data retention
The Hack Posts
2021年6月2日
メディア報道種別: テレビ・ラジオ番組
-
Higher endurance and dependable information storage: A brand new STT-MRAM Quad know-how
Electrical Daily News
2021年6月2日
メディア報道種別: テレビ・ラジオ番組
-
BETTER ENDURANCE AND RELIABLE DATA RETENTION: A NEW STT-MRAM QUAD TECHNOLOGY (ENGINEERING)
Uncover Reality
2021年6月2日
メディア報道種別: テレビ・ラジオ番組
-
Meilleure endurance et r?tention fiable des donn?es : une nouvelle technologie STT-MRAM Quad
The Press Free
2021年6月2日
メディア報道種別: テレビ・ラジオ番組
-
東北大、1桁nm世代のプロセスデザインルールに適合するQuad-MTJを開発
IDEMA 日本HDD協会
2021年6月2日
メディア報道種別: テレビ・ラジオ番組
-
1桁ナノメートル世代の集積化技術での10年以上のデータ保持と1兆回に到達する書き換え耐性を有する低消費電力MRAM技術の開発に成功
NanotechJapan
2021年6月1日
メディア報道種別: テレビ・ラジオ番組
-
10年以上のデータ保持と1兆回に到達する書き換え耐性を有する低消費電力 MRAM技術の開発に成功
日本の研究.com
2021年6月1日
メディア報道種別: テレビ・ラジオ番組
-
Better Endurance and Reliable Data Retention: A New STT-MRAM Quad Technology
MIRAGE News
2021年6月1日
メディア報道種別: テレビ・ラジオ番組
-
東北大、10年以上のデータ保持と1兆回に到達する書き換え耐性を有する低消費電力 MRAM技術の開発に成功
日本経済新聞 電子版
2021年6月1日
メディア報道種別: テレビ・ラジオ番組
-
生産、高まる国内回帰論 海外集中リスク膨らむ
日本経済新聞 電子版
2021年6月1日
メディア報道種別: テレビ・ラジオ番組
-
半導体産業、勝者交代も 普及期迎えるスピントロニクス東北大学教授 遠藤哲郎氏
日本経済新聞 電子版
2021年6月1日
メディア報道種別: テレビ・ラジオ番組
-
半導体産業、勝者交代も
日経産業新聞
2021年5月31日
メディア報道種別: テレビ・ラジオ番組
-
S'pore, Japan researchers find new way to turn Wi-Fi signals into power
THE STRAITS TIMES
2021年5月25日
メディア報道種別: テレビ・ラジオ番組
-
Wi-Fi電波で発電 充電不要スマホの実用化も期待
47NEWS
2021年5月24日
メディア報道種別: テレビ・ラジオ番組
-
東北大、スピントロニクス原理活用
河北新報
2021年5月24日
メディア報道種別: テレビ・ラジオ番組
-
【スピントロニクス】東北大学電気通信研究所の深見教授・大野教授 シンガポール国立大学との共同研究によりWi-Fiの電波で発電する技術開発
加工技術研究会
2021年5月22日
メディア報道種別: テレビ・ラジオ番組
-
Wi-Fi」を吸収して充電できる装置が登場
ナゾロジー
2021年5月22日
メディア報道種別: テレビ・ラジオ番組
-
Wireless and Battery Free Spintronic Energy Harvester
OFF GRID ENERGY INDEPENDENCE
2021年5月21日
メディア報道種別: テレビ・ラジオ番組
-
Tap a signal as an energy source, which can result in over-WiFi. ? Science Inquirer
jiotorme
2021年5月21日
メディア報道種別: テレビ・ラジオ番組
-
Wi-Fiの2.4GHz帯電波で発電、東北大らが開発
EE Times Japan
2021年5月21日
メディア報道種別: テレビ・ラジオ番組
-
Wi-Fiの電波で発電するスピントロニクス技術を開発
河北新報ONLINE NEWS
2021年5月21日
メディア報道種別: テレビ・ラジオ番組
-
捨てられる電波
日刊工業新聞
2021年5月21日
メディア報道種別: テレビ・ラジオ番組
-
WiFi Signals Could Power Small Electronics Without Any Batteries
The Energy Bulletin
2021年5月20日
メディア報道種別: テレビ・ラジオ番組
-
Wi-Fi電波で発電できる!東北大などが素子を開発
gooニュース
2021年5月20日
メディア報道種別: テレビ・ラジオ番組
-
WiFi Signals Could Power Small Electronics Without Any Batteries
OILPRICE.com
2021年5月19日
メディア報道種別: テレビ・ラジオ番組
-
TAPPING SIGNALS AS AN ENERGY SOURCE IS POSSIBLE EXCESS WIFI.
SCIENTIFIC INQUIRER
2021年5月19日
メディア報道種別: テレビ・ラジオ番組
-
NUS team harvests WiFi signals to power electronics
IndiaEducationDiary.com
2021年5月19日
メディア報道種別: テレビ・ラジオ番組
-
NUS engineers harvest WiFi signals to power small electronics
Bioengineer.org
2021年5月18日
メディア報道種別: テレビ・ラジオ番組
-
東北大、Wi-Fiの電波で発電するスピントロニクス技術を開発
日本経済新聞 電子版
2021年5月18日
メディア報道種別: テレビ・ラジオ番組
-
東北大学のスピントロニクスMRAMは、新たな段階に突入した
電子デバイス産業新聞
2021年5月7日
メディア報道種別: テレビ・ラジオ番組
-
電子デバイス産業新聞「第1回セミコンニューウェーブ2021」
電子デバイス産業新聞
2021年4月19日
メディア報道種別: テレビ・ラジオ番組
-
東北大学、Pビット素子の状態更新を100倍高速に
EE Times Japan
2021年3月23日
メディア報道種別: テレビ・ラジオ番組
-
Demonstrating World’s Fastest Spintronics p-bit
MIRAGE
2021年3月19日
メディア報道種別: テレビ・ラジオ番組
-
東北大が1秒間に1億回の動作が可能な「確率ビット素子」の開発に成功
マイナビニュース
2021年3月19日
メディア報道種別: テレビ・ラジオ番組
-
Demonstrating the world's fastest spintronics p-bit
EurekAlert!
2021年3月18日
メディア報道種別: テレビ・ラジオ番組
-
Non-Volatile Memory Market to Witness Huge Growth by 2026 | ROHM Co. Ltd, STMicroelectronics NV, Maxim Integrated Products Inc., Fujitsu Ltd
KSU | The Sentinel Newspaper
2021年3月1日
メディア報道種別: テレビ・ラジオ番組
-
IEDM 2020 - テーマは「より良い将来のための革新的デバイス」
マイナビニュースTECH+
2021年2月3日
メディア報道種別: テレビ・ラジオ番組
-
世界最小磁気トンネル接合素子の高性能動作を実証 ~超大容量・低消費電力・高性能不揮発性メモリ開発を加速~
Alpha Galileo
2020年12月11日
メディア報道種別: テレビ・ラジオ番組
-
萩生田文科相が東北大訪問、産学連携の現場視察
河北新報 ONLINE NEWS
2020年12月10日
メディア報道種別: テレビ・ラジオ番組
-
直径2.3nmの新構造形状磁気異方性MTJ素子を開発
EE Times
2020年12月10日
メディア報道種別: テレビ・ラジオ番組
-
東北大,最小・高性能磁気トンネル接合素子を開発
OPTRONICS
2020年12月8日
メディア報道種別: テレビ・ラジオ番組
-
World’s Smallest High-performance Magnetic Tunnel Junction
MIRAGE
2020年12月8日
メディア報道種別: テレビ・ラジオ番組
-
The world's smallest high-performance magnetic tunnel junction
Science Daily
2020年12月8日
メディア報道種別: テレビ・ラジオ番組
-
オンライン開催のIEDM 2020、次世代半導体開発の最新成果を喰らい尽くす
PC.Watch
2020年12月5日
メディア報道種別: テレビ・ラジオ番組
-
Non-Volatile Memory Market - Growth, Trends, Forecasts (2020 - 2025)
ReportLinler
2020年11月20日
メディア報道種別: テレビ・ラジオ番組
-
T&Sと東北大、次世代半導体の実用化へ研究開発
日本経済新聞電子版
2020年11月4日
メディア報道種別: テレビ・ラジオ番組
-
2020 IEEE IEDM To Highlight Innovative Devices for a Better Future
semiconductor-digest.com
2020年9月10日
メディア報道種別: テレビ・ラジオ番組
-
66th IEDM will be held virtually Dec 12-16
Electronics Weekly
2020年9月10日
メディア報道種別: テレビ・ラジオ番組
-
MRAMの低消費電力化が地球環境を守る
PROトロン Vol. 7
2020年8月3日
メディア報道種別: テレビ・ラジオ番組
-
電子スピン使い記録用チップ
日経産業新聞
2020年7月14日
メディア報道種別: テレビ・ラジオ番組
-
「電子スピン」メモリー電力1000分の1
日経XTECH
2020年6月30日
メディア報道種別: テレビ・ラジオ番組
-
東北大学、Quad-MTJで高速動作などを確認
Yahoo! ニュース
2020年6月19日
メディア報道種別: テレビ・ラジオ番組
-
東北大、SOT-MTJ素子を用いた不揮発メモリチップの試作・実証に成功
日本経済新聞電子版
2020年6月15日
メディア報道種別: テレビ・ラジオ番組
-
東北大、STT-MRAMの車載応用を可能にする高速かつ高信頼な微細磁気トンネル接合(MTJ)素子の実証動作に成功
日本経済新聞電子版
2020年6月14日
メディア報道種別: テレビ・ラジオ番組
-
MRAMがついに表舞台に、2024年に市場が2000億円規模へ
日経XTECH
2020年5月26日
メディア報道種別: テレビ・ラジオ番組
-
AIブームで進?する第4次“熱危機”、スピン利用で消費電?が1000分の1に
日経XTECH
2020年5月25日
メディア報道種別: テレビ・ラジオ番組
-
SRAMとDRAMを代替へ AIでは1/1000の省エネに
日経エレクトロニクス2020年6月号
2020年5月19日
メディア報道種別: テレビ・ラジオ番組
-
東北大学イノベーターズカンファレンス 東北大・遠藤氏が講演 書き換え耐性の重要性説く
電子デバイス産業新聞
2019年12月12日
メディア報道種別: テレビ・ラジオ番組
-
特許発案吹雪の中で
河北新報
2019年12月1日
メディア報道種別: テレビ・ラジオ番組
-
自動車、5Gなど最新トレンド網羅
電子デバイス産業新聞
2019年10月10日
メディア報道種別: テレビ・ラジオ番組
-
ビックデータ時代 需要増
河北新報
2019年10月10日
メディア報道種別: テレビ・ラジオ番組
-
CIES MTJを高温で動作 自動車などにも適用可
電子デバイス産業新聞
2019年7月11日
メディア報道種別: テレビ・ラジオ番組
-
東北大と高輝度光科学研究センター、STT-MRAM用極薄MgOトンネル障壁膜の化学結合状態の微視的変化の観測に成功
日本経済新聞電子版
2019年6月27日
メディア報道種別: テレビ・ラジオ番組
-
150℃でも十分な熱安定性を実現:東北大学、車載用途に対応可能なMTJ技術を開発
IT Media
2019年6月17日
メディア報道種別: テレビ・ラジオ番組
-
東北大学、車載用途に対応可能なMTJ技術を開発
EE Times Japan
2019年6月17日
メディア報道種別: テレビ・ラジオ番組
-
東北大、150℃の耐環境下でデータ保持時間を100万倍に延ばせる1Xnm世代向け高信頼MTJの開発に成功
日本経済新聞電子版
2019年6月12日
メディア報道種別: テレビ・ラジオ番組
-
省エネ社会構築目指す
河北新報
2019年5月22日
メディア報道種別: テレビ・ラジオ番組
-
東北大新キャンパスのイノベーション創出戦略とは
日経×TECH
2019年5月15日
メディア報道種別: テレビ・ラジオ番組
-
東北大学はスピントロニクスマイコン開発しAIチップで世界最先行
電子デバイス産業新聞ウェブサイト
2019年5月10日
メディア報道種別: テレビ・ラジオ番組
-
高速動作のまま桁違いの低消費電力化、東北大学が不揮発性マイコン開発 電源や電池なしでの動作を目指す
日経×TECH
2019年4月17日
メディア報道種別: テレビ・ラジオ番組
-
東北大、ニューロンとシナプスの動作を再現する変幻自在なスピントロニクス素子を開発
日本経済新聞電子版
2019年4月16日
メディア報道種別: テレビ・ラジオ番組
-
ニッポン半導体の本格活動は新型メモリーの開発ラッシュにあり
Yahoo JAPAN ニュース
2019年4月4日
メディア報道種別: テレビ・ラジオ番組
-
MRAMはマイコンやプロセッサへの集積化で超低消費電力の威力を発揮
semiconportal
2019年4月3日
メディア報道種別: テレビ・ラジオ番組
-
電源切っても記録保持/東北大、省電力マイコン開発
電気新聞
2019年3月22日
メディア報道種別: テレビ・ラジオ番組
-
スピントロニクス技術を用いた高性能・超低消費電力マイコン開発
科学新聞
2019年3月15日
メディア報道種別: テレビ・ラジオ番組
-
実用化迫るスピントロニクス、チップ性能向上の壁挑む、微細化・省電力期待高く
日経産業新聞
2019年3月15日
メディア報道種別: テレビ・ラジオ番組
-
【世界初】高性能と超低消費電力を両立する不揮発マイコンを実証
宮崎日日新聞
2019年3月8日
メディア報道種別: テレビ・ラジオ番組
-
電池レスで駆動 高性能不揮発マイコン
電子デバイス産業新聞
2019年3月7日
メディア報道種別: テレビ・ラジオ番組
-
【PC Watch】東北大 「不揮発性マイコン」発実証
SankeiBiz
2019年3月5日
メディア報道種別: テレビ・ラジオ番組
-
東北大の研究者ら、高性能・低消費電力の不揮発性マイコンを開発
財形新聞
2019年2月23日
メディア報道種別: テレビ・ラジオ番組
-
Deavelopment of nonvolatile spintronics-based 50uW microcontroller until operating at 200MHz
Bioengineer.org
2019年2月21日
メディア報道種別: テレビ・ラジオ番組
-
世界に先駆け、200MHzで50μW以下の高性能・低消費電力スピントロニクス不揮発マイコンを実証
EurekAlert!
2019年2月20日
メディア報道種別: テレビ・ラジオ番組
-
スピントロニクス集積回路技術を用いて、高性能(動作周波数200MHz)と超低消費電力(平均電力50μW以下)を両立する不揮発マイコンを世界で初めて実証
J-Net21
2019年2月19日
メディア報道種別: テレビ・ラジオ番組
-
東北大、スピントロニクスで高性能/低消費電力MCUを開発
OPTRONICS ON LINE
2019年2月19日
メディア報道種別: テレビ・ラジオ番組
-
東北大、バッテリなしで動作するIoT向け不揮発性マイコンを世界初実証
PC Watch
2019年2月19日
メディア報道種別: テレビ・ラジオ番組
-
マイコン、電池不要-東北大、顔認証の演算可能
日本経済新聞
2019年2月19日
メディア報道種別: テレビ・ラジオ番組
-
Researchers design 128mb STT-MRAM
DX news.com
2019年1月14日
メディア報道種別: テレビ・ラジオ番組
-
Researchers develop 128Mb STT-MRAM with World's Fastest Write Speed for Embeddeed
POP YARD
2019年1月10日
メディア報道種別: テレビ・ラジオ番組
-
Researchers develop workd's fastest write speed for embedded memory
TRINITY IT es
2019年1月7日
メディア報道種別: テレビ・ラジオ番組
-
WORLD'S FASTEST STT-MRAM
Always Researching
2019年1月6日
メディア報道種別: テレビ・ラジオ番組
-
Researchers Develop 128Mb STT-MRAM
IConnect007
2019年1月2日
メディア報道種別: テレビ・ラジオ番組
-
Researchers develop 128Mb STT-MRAM with World's Fastest Write Speed for Embeddeed Memory
HP Cwire
2019年1月2日
メディア報道種別: テレビ・ラジオ番組
-
「卓越大学院」始動
日刊工業新聞
2018年10月11日
メディア報道種別: テレビ・ラジオ番組
-
《ビジョン》遠藤哲郎センター長 研究現場も国際化必須(日本経済新聞電子版)
日本経済新聞電子版
2018年6月27日
メディア報道種別: テレビ・ラジオ番組
-
東北大学国際集積エレクトロニクス研究開発センター 企業が賄う共同研究(日本経済新聞)
日本経済新聞
2018年6月27日
メディア報道種別: テレビ・ラジオ番組
-
東北大学国際集積エレクトロニクス研究開発センター 企業が賄う共同研究(日刊工業新聞電子版)
日刊工業新聞電子版
2018年6月27日
メディア報道種別: テレビ・ラジオ番組
-
STT-MRAMの開発効率向上と量産化に寄与
bp-Affairs
2018年5月22日
メディア報道種別: テレビ・ラジオ番組
-
新型メモリー 量産後押し アドバンテスト・東北大 良品率を改善
日経産業新聞
2018年5月22日
メディア報道種別: テレビ・ラジオ番組
-
メモリー新技術 容量100万倍以上
日本経済新聞
2018年5月21日
メディア報道種別: テレビ・ラジオ番組
-
アドバンテスト、次世代メモリの歩留まり率向上と高性能化の実証実験に成功(株ライン)
株ライン
2018年5月21日
メディア報道種別: テレビ・ラジオ番組
-
アドバンテスト、次世代メモリの歩留まり率向上と高性能化の実証実験に成功(Yahoo!Japanファイナンス)
Yahoo!Japanファイナンス
2018年5月21日
メディア報道種別: テレビ・ラジオ番組
-
当社メモリ・テスト・システムを用いたSTT-MRAMの歩留まり率向上と高性能化の実証実験に成功(BtoBプラットフォーム業界チャネル)
BtoBプラットフォーム業界チャネル
2018年5月18日
メディア報道種別: テレビ・ラジオ番組
-
当社メモリ・テスト・システムを用いたSTT-MRAMの歩留まり率向上と高性能化の実証実験に成功(ADVANTEST)
ADVANTEST
2018年5月18日
メディア報道種別: テレビ・ラジオ番組
-
アドバンテストと東北大CIES、次世代メモリ「STT-MRAM」の歩留まり率向上と高性能化の実証実験に成功
日本経済新聞電子版
2018年5月18日
メディア報道種別: テレビ・ラジオ番組
-
STT-MRAM用テスト装置、測定が2万倍高速に
EE Times Japan
2018年5月17日
メディア報道種別: テレビ・ラジオ番組
-
反応性エッチングプロセスの開発による磁気ランダムアクセスメモリ(STT-MRAM)の高性能化と歩留まり率の向上の両立に世界で初めて成功
日本の研究.com
2018年5月15日
メディア報道種別: テレビ・ラジオ番組
-
キーサイト・テクノロジー合同会社との共同開発の成果により、STT-MRAMの信頼性評価(データ保持時間=10年)を1.7秒(従来比2万倍の高速化)で可能にする新測定システムの実証に成功
日本の研究.com
2018年5月15日
メディア報道種別: テレビ・ラジオ番組
-
アドバンテスト社製メモリテスターを用いて,300mm ウェハ全面における平均値で 磁気ランダムアクセスメモリ(STT-MRAM)の歩留まり率の向上(91%から 97%)と、高速動作特性の向上を実証する実験に成功
日本の研究.com
2018年5月15日
メディア報道種別: テレビ・ラジオ番組
-
STT-MRAMの高性能化と高歩留まりを両立させる技術を開発 東北大と東京エレクトロン
fab cross for エンジニア
2018年5月15日
メディア報道種別: テレビ・ラジオ番組
-
東北大、反応性イオンエッチングプロセスの開発による磁気ランダムアクセスメモリの高性能化と歩留まり率の向上の両立に成功
日本経済新聞電子版
2018年5月14日
メディア報道種別: テレビ・ラジオ番組
-
東北大、アドバンテスト社製メモリテスターを用いて磁気ランダムアクセスメモリの歩留まり率の向上と高性能化を実証
日本経済新聞電子版
2018年5月14日
メディア報道種別: テレビ・ラジオ番組
-
混載用途でMRAMの実用化目前 新方式で大容量化の道開く
電子デバイス産業新聞
2018年4月20日
メディア報道種別: テレビ・ラジオ番組
-
MRAMは商品化と10nmの限界を極める研究の2本立て
semiconportal
2018年4月4日
メディア報道種別: テレビ・ラジオ番組
-
組み込みMRAMを今年末にファウンドリ2社が生産開始
semiconportal
2018年3月23日
メディア報道種別: テレビ・ラジオ番組
-
Tohoku University Center for Innovative Integrated Electronic Systems/ 4th CIES Technology Forum
GLOBALFOUNDRIES
2018年3月21日
メディア報道種別: テレビ・ラジオ番組
-
Meeting Announcements 4th CIES Technology Forum
EurekAlert!
2018年3月13日
メディア報道種別: テレビ・ラジオ番組
-
Public Release 4th CIES Technology Forum
EurekAlert!
2018年3月13日
メディア報道種別: テレビ・ラジオ番組
-
東北大、最小直径3.8nmの高性能磁気トンネル接合素子を開発
グローバルネット
2018年2月26日
メディア報道種別: テレビ・ラジオ番組
-
磁気トンネル接合素子,未踏の一桁ナノメートル領域で動作実現 ~超大容量・低消費電力・高性能不揮発性メモリの実現に道筋~(ナノテクノロジープラットフォーム)
ナノテクノロジープラットフォーム
2018年2月23日
メディア報道種別: テレビ・ラジオ番組
-
STT-MRAM新技術 線寬3分之1、記憶容量100倍
DIGITIMES
2018年2月22日
メディア報道種別: テレビ・ラジオ番組
-
Unprecedented single-digit-nanometer magnetic tunnel junction demonstrated (felixfacts)
felixfacts
2018年2月22日
メディア報道種別: テレビ・ラジオ番組
-
Unprecedented single-digit-nanometer magnetic tunnel junction demonstrated (Jpralves.net)
Jpralves.net
2018年2月22日
メディア報道種別: テレビ・ラジオ番組
-
Unprecedented single-digit-nanometer magnetic tunnel junction demonstrated (15minutenews)
15minutenews
2018年2月21日
メディア報道種別: テレビ・ラジオ番組
-
Unprecedented single-digit-nanometer magnetic tunnel junction demonstrated (Product Design & Development)
Product Design & Development
2018年2月21日
メディア報道種別: テレビ・ラジオ番組
-
Unprecedented single-digit-nanometer magnetic tunnel junction demonstrated (Phys.org)
Phys.org
2018年2月21日
メディア報道種別: テレビ・ラジオ番組
-
Unprecedented single-digit-nanometer magnetic tunnel junction demonstrated (Long Room)
Long Room
2018年2月20日
メディア報道種別: テレビ・ラジオ番組
-
Unprecedented single-digit-nanometer magnetic tunnel junction demonstrated (Asia Research news)
Asia Research news
2018年2月20日
メディア報道種別: テレビ・ラジオ番組
-
Unprecedented single-digit-nanometer magnetic tunnel junction demonstrated (ScienceDaily)
ScienceDaily
2018年2月20日
メディア報道種別: テレビ・ラジオ番組
-
Unprecedented single-digit-nanometer magnetic tunnel junction demonstrated (EurekAlert!)
EurekAlert!
2018年2月19日
メディア報道種別: テレビ・ラジオ番組
-
超大容量・低消費電力・高性能不揮発性メモリの実現に道筋
bp-Affairs
2018年2月19日
メディア報道種別: テレビ・ラジオ番組
-
磁気トンネル接合素子、直径3.8nmで動作確認(EE Times Japan)
EE Times Japan
2018年2月19日
メディア報道種別: テレビ・ラジオ番組
-
磁石の性質使う新メモリー 容量DRAMの10倍
日本経済新聞
2018年2月19日
メディア報道種別: テレビ・ラジオ番組
-
磁気トンネル接合素子、直径3.8nmで動作確認(IT Media)
IT Media
2018年2月19日
メディア報道種別: テレビ・ラジオ番組
-
記憶容量、DRAMの10倍以上へ 東北大が新素子
日本経済新聞 電子版
2018年2月17日
メディア報道種別: テレビ・ラジオ番組
-
【MRAM】記憶容量、DRAMの10倍以上へ 東北大が新素子(2NN)
2NN
2018年2月17日
メディア報道種別: テレビ・ラジオ番組
-
東北大,最小直径3.8nmまでの磁気トンネル接合素子を開発
OPTRONICS ONLINE
2018年2月16日
メディア報道種別: テレビ・ラジオ番組
-
未踏の一桁ナノメートルサイズでも熱安定性と電流誘起磁化反転を両立――東北大、磁気トンネル接合素子の新方式を提案
fabcross for エンジニア
2018年2月16日
メディア報道種別: テレビ・ラジオ番組
-
磁気トンネル接合素子、未踏の一桁ナノメートル領域で動作実現~超大容量・低消費電力・高性能不揮発性メモリの実現に道筋~(日本の研究.com)
日本の研究.com
2018年2月15日
メディア報道種別: テレビ・ラジオ番組
-
磁気トンネル接合素子、未踏の一桁ナノメートル領域で動作実現~超大容量・低消費電力・高性能不揮発性メモリの実現に道筋~(ECO Mart)
ECO Mart
2018年2月15日
メディア報道種別: テレビ・ラジオ番組
-
磁気トンネル接合素子、未踏の一桁ナノメートル領域で動作実現(テック・アイ技術情報研究所)
テック・アイ技術情報研究所
2018年2月14日
メディア報道種別: テレビ・ラジオ番組
-
磁気トンネル接合素子、未踏の一桁ナノメートル領域で動作実現~超大容量・低消費電力・高性能不揮発性メモリの実現に道筋~(科学技術振興機構(JST))
科学技術振興機構(JST)
2018年2月14日
メディア報道種別: その他
-
磁気トンネル接合素子、未踏の一桁ナノメートル領域で動作実現(内閣府)
内閣府
2018年2月14日
メディア報道種別: その他
-
磁気トンネル接合素子、未踏の一桁ナノメートル領域で動作実現~超大容量・低消費電力・高性能不揮発性メモリの実現に道筋~(はてなブックマーク)
はてなブックマーク
2018年2月14日
メディア報道種別: テレビ・ラジオ番組
-
東北大、磁気トンネル接合素子の新方式提案し世界最小となる一桁ナノメートルサイズでの動作実証に成功
日本経済新聞 電子版
2018年2月14日
メディア報道種別: テレビ・ラジオ番組
-
2018 MAGNETICS SOCIETY IEEE FELLOW INDUCTEES
IEEE MAGNETICS
2018年1月1日
メディア報道種別: テレビ・ラジオ番組
-
Tohoku University and Keysight Technologies Inc. release test solution product for MTJ(Mihanmag)
Mihanmag
2017年11月8日
メディア報道種別: テレビ・ラジオ番組
-
Keysight Technologies announces a new MRAM test platform designed in collaboration with Tohoku University
MRAM-info
2017年11月8日
メディア報道種別: テレビ・ラジオ番組
-
次世代メモリSTT-MRAMのMTJ特性評価ソリューションを製品化
EurecAlert! Science News
2017年11月8日
メディア報道種別: テレビ・ラジオ番組
-
Tohoku University collaborates with Keysight Technologies Inc. on STT-MRAM test solution
EurecAlert! Science News
2017年11月8日
メディア報道種別: テレビ・ラジオ番組
-
JOINING FORCES TO CREATE A NEW ERA OF ELECTRONICS
Nature Inside View
2017年11月2日
メディア報道種別: テレビ・ラジオ番組
-
インタビュー記事「MRAMと拠点構築で内閣総理大臣賞」
電子デバイス産業新聞
2017年11月2日
メディア報道種別: テレビ・ラジオ番組
-
High-Throughput 1 ns Pulsed IV Memory Test Solution
All about TEST
2017年10月11日
メディア報道種別: テレビ・ラジオ番組
-
トップランナー「電子のスピンでコンピューター革命」電子がもつ磁石の性質を利用する「スピントロニクス」
Newton
2017年9月27日
メディア報道種別: テレビ・ラジオ番組
-
Charakterisierung von neuen Speichertechnologien
All about TEST
2017年9月27日
メディア報道種別: テレビ・ラジオ番組
-
日本人4年連続なるか ノーベル賞発表迫る
日刊工業新聞
2017年9月22日
メディア報道種別: テレビ・ラジオ番組
-
Keysight Technologies Announces High-Throughput 1 ns Pulsed IV Memory Test Solution-Accelerates Development, Commercialization of New Memory, Including STT-MRAM(Electronics Media)
Electronics Media
2017年9月22日
メディア報道種別: テレビ・ラジオ番組
-
Keysight Technologies Announces High-Throughput 1 ns Pulsed IV Memory Test Solution-Accelerates Development, Commercialization of New Memory, Including STT-MRAM(Signal Integrity Journal)
Signal Integrity Journal
2017年9月18日
メディア報道種別: テレビ・ラジオ番組
-
Test solution accelerates new memory development
Keysight Technologies
2017年9月18日
メディア報道種別: テレビ・ラジオ番組
-
新時代を切り開くAIチップの本命は?(日経テクノロジーオンライン)
日経テクノロジーオンライン
2017年9月1日
メディア報道種別: テレビ・ラジオ番組
-
新時代を切り開くAIチップの本命は?(日経エレクトロニクス)
日経エレクトロニクス
2017年9月1日
メディア報道種別: テレビ・ラジオ番組
-
科学リサーチフロント「集積回路 省エネの切り札」
読売新聞夕刊
2017年8月24日
メディア報道種別: テレビ・ラジオ番組
-
科学リサーチフロント「電子の磁力で省エネ」(読売新聞夕刊)
読売新聞夕刊
2017年8月3日
メディア報道種別: テレビ・ラジオ番組
-
東北大を訪問 産学拠点視察 文科相
河北新報
2017年7月27日
メディア報道種別: テレビ・ラジオ番組
-
不揮発性メモリで、電力のイノベーションを起こす
Top Researchers
2017年7月11日
メディア報道種別: テレビ・ラジオ番組
-
スピン軌道トルクによる高速磁化反転とその応用
応用物理7号
2017年7月10日
メディア報道種別: テレビ・ラジオ番組
-
AI/IoT時代を支える基軸新デバイスを創出 CIESは社会実装を見据えた新局面に突入(日経テクノロジーオンライン)
日経テクノロジーオンライン
2017年6月1日
メディア報道種別: テレビ・ラジオ番組
-
AI/IoT時代を支える基軸新デバイスを創出 CIESは社会実装を見据えた新局面に突入(日経エレクトロニクス)
日経エレクトロニクス
2017年6月1日
メディア報道種別: テレビ・ラジオ番組
-
平成29年度全国発明表彰 受賞者の発表について
公益社団法人 発明協会
2017年5月25日
メディア報道種別: テレビ・ラジオ番組
-
東北大、成果に応じ評価 産学共同研究の博士学生 企業資金で支援
日刊工業新聞
2017年4月13日
メディア報道種別: テレビ・ラジオ番組
-
まずは混載フラッシュ代替、GLOBALFOUNDRIESのMRAM戦略
日経テクノロジーオンライン
2017年3月22日
メディア報道種別: テレビ・ラジオ番組
-
平成28年度(第14回)内閣府産学官連携功労者表彰 内閣総理大臣賞受賞「産学官連携チームで集積エレクトロニクスの未来を築く」第3回「劣勢に立たされていた“日の丸半導体”は復活するか?」
Science Portal
2017年1月23日
メディア報道種別: テレビ・ラジオ番組
-
平成28年度(第14回)内閣府産学官連携功労者表彰内閣総理大臣賞受賞「産学官連携チームで集積エレクトロニクスの未来を築く」第2回「日本に適したオープン・イノベーションの在り方とは?」
Science Portal
2017年1月13日
メディア報道種別: テレビ・ラジオ番組
-
産学官連携によるオープンイノベーション拠点の確立と成果―第14回産学官連携功労者表彰「内閣総理大臣賞」を受賞して―(OHM)
OHM 2017年1月号
2017年1月5日
メディア報道種別: テレビ・ラジオ番組
-
平成28年度(第14回)内閣府産学官連携功労者表彰 内閣総理大臣賞受賞「産学官連携チームで集積エレクトロニクスの未来を築く」(Science Portal)
Science Portal
2016年12月22日
メディア報道種別: テレビ・ラジオ番組
-
産学共創のステージへ(1)幕上がる『OPERA』
日刊工業新聞
2016年11月29日
メディア報道種別: テレビ・ラジオ番組
-
世界に通用する産業創る
日刊工業新聞
2016年11月29日
メディア報道種別: テレビ・ラジオ番組
-
世界のトップ企業が集まる最先端R&D拠点を日本に 遠藤 哲郎氏(東北大学 国際集積エレクトロニクス研究開発センター センター長)(日経エレクトロニクス)
日経エレクトロニクス
2016年11月20日
メディア報道種別: テレビ・ラジオ番組
-
世界のトップ企業が集まる最先端R&D拠点を日本に 遠藤 哲郎氏(東北大学 国際集積エレクトロニクス研究開発センター センター長)
日経テクノロジーonline
2016年11月18日
メディア報道種別: テレビ・ラジオ番組
-
Semiconductor Collaboration Recognized For Successful Public Private Partnership Read more from Asian Scientist(Asian Scientist Magazine)
Asian Scientist Magazine
2016年11月1日
メディア報道種別: テレビ・ラジオ番組
-
IoT高性能化の切り札 超高速不揮発メモリ
セラミックス
2016年11月1日
メディア報道種別: テレビ・ラジオ番組
-
日本支えた半導体の衰退 政府支援の研究拠点を
日経産業新聞
2016年10月31日
メディア報道種別: テレビ・ラジオ番組
-
R&D collaboration in integrated electronic systems receives top award(scienmag)
scienmag
2016年10月19日
メディア報道種別: その他
-
R&D collaboration in integrated electronic systems receives top award(Peer Appraisals)
Peer Appraisals
2016年10月19日
メディア報道種別: その他
-
R&D collaboration in integrated electronic systems receives top award(HiTechDays.com)
HiTechDays.com
2016年10月19日
メディア報道種別: その他
-
R&D collaboration in integrated electronic systems receives top award(I Want Hololens)
I Want Hololens
2016年10月19日
メディア報道種別: その他
-
R&D collaboration in integrated electronic systems receives top award(regator)
regator
2016年10月19日
メディア報道種別: その他
-
R&D collaboration in integrated electronic systems receives top award(Primeur Weekly)
Primeur Weekly
2016年10月18日
メディア報道種別: その他
-
R&D collaboration in integrated electronic systems receives top award(EurekAlert)
EurekAlert
2016年10月18日
メディア報道種別: その他
-
先輩の技術融合(日刊工業新聞)
日刊工業新聞
2016年9月27日
メディア報道種別: テレビ・ラジオ番組
-
スピントロニクスLSI、見えてきた実用化(日刊工業新聞 電子版)
日刊工業新聞 電子版
2016年9月26日
メディア報道種別: テレビ・ラジオ番組
-
待機電力ゼロ、実用化目前(日刊工業新聞)
日刊工業新聞
2016年9月23日
メディア報道種別: テレビ・ラジオ番組
-
産学官連携の代表的な功労者の表彰【分野別】
キャリアパーク
2016年9月21日
メディア報道種別: その他
-
東北大、東エレ、キーサイトが、産学官連携の総理大臣賞を受賞(日経エレクトロニクス)
日経エレクトロニクス
2016年9月16日
メディア報道種別: その他
-
東北大ら,内閣総理大臣賞を受賞
OPTRONICS ONLINE
2016年9月2日
メディア報道種別: その他
-
内閣府、産学官連携功労者表彰者の文部科学大臣賞に東北大学教授3人を選出(日経BP 知財Awareness)
日経BP 知財Awareness
2016年9月1日
メディア報道種別: その他
-
電子機器大幅省電力化 東北大教授らに最高賞
河北新報オンライン
2016年8月27日
メディア報道種別: その他
-
東北大・遠藤教授ら総理大臣賞 電子機器省電力化に貢献
河北新報
2016年8月27日
メディア報道種別: その他
-
電子機器大幅省電力化 東北大教授らに最高賞
47news
2016年8月27日
メディア報道種別: その他
-
東北大・遠藤教授ら総理大臣賞 電子機器省電力化に貢献(河北新報)
河北新報
2016年8月27日
メディア報道種別: その他
-
産学連携の「総理大臣賞」を東北大、東京エレクトロン、キーサイトが受賞した理由
株ライン
2016年8月26日
メディア報道種別: その他
-
産学連携の総理大臣賞が東北大、東京エレクらに輝いた理由
日経テクノロジーオンライン
2016年8月26日
メディア報道種別: その他
-
第14回産学官連携功労者表彰における受賞者が決定しました
J-net21 中小企業ビジネス支援サイト
2016年8月23日
メディア報道種別: その他
-
産学官功労者表彰、総理大臣賞に東北大学の遠藤教授ら
大学ジャーナルオンライン
2016年8月23日
メディア報道種別: その他
-
Tokyo Electron Receives Prime Minister's Award in Citation of Merit for Industry-Academia-Government Collaboration (TEL FSI Inc)
wn.com
2016年8月22日
メディア報道種別: その他
-
Tokyo Electron : Receives Prime Minister's Award in Citation of Merit for Industry-Academia-Government Collaboration
4-Traders
2016年8月22日
メディア報道種別: その他
-
総理大臣賞に東北大学など?内閣府が産学官連携功労者14件表彰
日刊工業新聞
2016年8月22日
メディア報道種別: その他
-
第14回産学官連携功労者表彰において内閣総理大臣賞を受賞
キーサイト
2016年8月22日
メディア報道種別: その他
-
東京エレクトロン、産学官連携功労者表彰で内閣総理大臣賞受賞
東京エレクトロン
2016年8月22日
メディア報道種別: その他
-
NEDOプロジェクトの成果が内閣総理大臣賞などを受賞
NEDO
2016年8月19日
メディア報道種別: その他
-
第14回産学官連携功労者表彰における受賞者が決定しました
東北経済産業局
2016年8月19日
メディア報道種別: その他
-
超省エネの磁気メモリー開発-日の丸半導体 復活挑む
日経産業新聞
2016年6月23日
メディア報道種別: テレビ・ラジオ番組
-
半導体FPGA、高速処理・省電力で 東北大・NECが開発
日本経済新聞
2016年6月20日
メディア報道種別: テレビ・ラジオ番組
-
Magnetic domain wall creep
MaterialsToday
2016年5月23日
メディア報道種別: テレビ・ラジオ番組
-
2Mb STT-MRAMのセル面積を歩留り維持し30%縮小(Yahoo Japan)
Yahoo Japan
2016年5月19日
メディア報道種別: テレビ・ラジオ番組
-
2Mb STT-MRAMのセル面積を歩留り維持し30%縮小(EE Times Japan)
EE Times Japan
2016年5月19日
メディア報道種別: テレビ・ラジオ番組
-
2Mb STT-MRAMのセル面積を歩留り維持し30%縮小(NEWS EXPRESS)
NEWS EXPRESS
2016年5月19日
メディア報道種別: テレビ・ラジオ番組
-
STT-MRAMでセル面積を30%縮小する新技術を実証(日経テクノロジーonline)
日経テクノロジーonline
2016年5月19日
メディア報道種別: テレビ・ラジオ番組
-
STT-MRAMでセル面積を30%縮小する新技術を実証(Nikkei BP net)
Nikkei BP net
2016年5月18日
メディア報道種別: テレビ・ラジオ番組
-
New Technology Reduces 30 Percent Chip Area Of STT MRAM While Increasing Memory Bit yield by 70 percent (Sci24.com)
Sci24.com
2016年5月18日
メディア報道種別: テレビ・ラジオ番組
-
STT-MRAMでセル面積を30%縮小する新技術を実証(イプロス製造業)
イプロス製造業
2016年5月18日
メディア報道種別: テレビ・ラジオ番組
-
東北大、2メガビット磁気ランダムアクセスメモリー(STT-MRAM)の実証実験に成功
日経プレスリリース
2016年5月17日
メディア報道種別: テレビ・ラジオ番組
-
New Technology Reduces 30 Percent Chip Area Of STT MRAM While Increasing Memory Bit yield by 70 percent (Science Daily)
Science Daily
2016年5月17日
メディア報道種別: テレビ・ラジオ番組
-
New Technology Reduces 30 Percent Chip Area Of STT MRAM While Increasing Memory Bit yield by 70 percent ((e)Science News)
(e)Science News
2016年5月17日
メディア報道種別: テレビ・ラジオ番組
-
New Technology Reduces 30 Percent Chip Area Of STT MRAM While Increasing Memory Bit yield by 70 percent(worldwidenews365)
worldwidenews365
2016年5月17日
メディア報道種別: テレビ・ラジオ番組
-
New Technology Reduces 30 Percent Chip Area Of STT MRAM While Increasing Memory Bit yield by 70 percent(globalnewsmagzine)
globalnewsmagzine
2016年5月17日
メディア報道種別: テレビ・ラジオ番組
-
New Technology Reduces 30 Percent Chip Area Of STT MRAM While Increasing Memory Bit yield by 70 percent(regator)
regator
2016年5月17日
メディア報道種別: テレビ・ラジオ番組
-
New Technology Reduces 30 Percent Chip Area Of STT MRAM While Increasing Memory Bit yield by 70 percent(Heart Glows)
Heart Glows
2016年5月17日
メディア報道種別: テレビ・ラジオ番組
-
New Technology Reduces 30 Percent Chip Area Of STT MRAM While Increasing Memory Bit yield by 70 percent(NEWS UNITED)
NEWS UNITED
2016年5月17日
メディア報道種別: テレビ・ラジオ番組
-
New Technology Reduces 30 Percent Chip Area Of STT MRAM While Increasing Memory Bit yield by 70 percent(I Want Hololens)
I Want Hololens
2016年5月17日
メディア報道種別: テレビ・ラジオ番組
-
New Technology Reduces 30 Percent Chip Area Of STT MRAM While Increasing Memory Bit yield by 70 percent(Science Newsline Technology)
Science Newsline Technology
2016年5月17日
メディア報道種別: テレビ・ラジオ番組
-
Reducing 30% Chip Area of STT-MRAM while Increasing Memory Bit Yield by 70%(HOT NEWS TECHNOLOGY)
HOT NEWS TECHNOLOGY
2016年5月17日
メディア報道種別: テレビ・ラジオ番組
-
New Technology Reduces 30 Percent Chip Area Of STT MRAM While Increasing Memory Bit yield by 70 percent(iconnect007)
iconnect007
2016年5月17日
メディア報道種別: テレビ・ラジオ番組
-
New Technology Reduces 30 Percent Chip Area Of STT MRAM While Increasing Memory Bit yield by 70 percent(newsblock)
newsblock
2016年5月17日
メディア報道種別: テレビ・ラジオ番組
-
New Technology Reduces 30 Percent Chip Area Of STT MRAM While Increasing Memory Bit yield by 70 percent(bizdailies)
bizdailies
2016年5月17日
メディア報道種別: テレビ・ラジオ番組
-
New Technology Reduces 30 Percent Chip Area Of STT MRAM While Increasing Memory Bit yield by 70 percent(ooyuz)
ooyuz
2016年5月17日
メディア報道種別: テレビ・ラジオ番組
-
New Technology Reduces 30 Percent Chip Area Of STT MRAM While Increasing Memory Bit yield by 70 percent(All OF The Internet)
All Of The Internet
2016年5月17日
メディア報道種別: テレビ・ラジオ番組
-
New technology reduces 30 percent chip area of STT-MRAM while increasing memory bit yield by 70 percent(PHYS ORG)
PHYS ORG
2016年5月17日
メディア報道種別: テレビ・ラジオ番組
-
Technology Reduces 30 Percent Chip Area of STT-MRAM While Increasing Memory Bit Yield By 70 Percent(Electronics Component News)
Electronic Component News
2016年5月17日
メディア報道種別: テレビ・ラジオ番組
-
MTJ stacking process shrinks STT-MRAM die size
newelectronics
2016年5月17日
メディア報道種別: テレビ・ラジオ番組
-
Researchers develop a way to increase STT-MRAM density by placing MTJs directly on the via(BEFORE IT'S NEWS)
BEFORE IT'S NEWS
2016年5月17日
メディア報道種別: テレビ・ラジオ番組
-
Researchers develop a way to increase STT-MRAM density by placing MTJs directly on the via(MRAMinfo)
MRAM-info
2016年5月17日
メディア報道種別: テレビ・ラジオ番組
-
省エネ半導体実用化へ 消費電力100分の1に
日経産業新聞
2016年4月25日
メディア報道種別: テレビ・ラジオ番組
-
世代メモリー 18年にも 国内AI研究連携も
日経産業新聞
2016年4月25日
メディア報道種別: テレビ・ラジオ番組
-
2期目を迎えた東北大CIESセンター
semiconportal
2016年4月6日
メディア報道種別: テレビ・ラジオ番組
-
記憶速く電流1/5
日経産業
2016年3月30日
メディア報道種別: テレビ・ラジオ番組
-
The magnetic appeal of spin-orbit memory
Asian Scientist
2016年3月28日
メディア報道種別: テレビ・ラジオ番組
-
東北大学ら、MRAMにおける第3のスピン軌道トルク磁化反転方式を開発
PC Watch
2016年3月23日
メディア報道種別: テレビ・ラジオ番組
-
東北大、超高速・低消費電力集積回路を実現する新構造磁気メモリ素子を開発
マイナビニュース
2016年3月23日
メディア報道種別: テレビ・ラジオ番組
-
A New-structure Magnetic Memory Device Developed(R&D Magazine)
R&D Magazine
2016年3月22日
メディア報道種別: テレビ・ラジオ番組
-
A New-structure Magnetic Memory Device Developed(Science Newsline Technology)
Science Newsline Technology
2016年3月22日
メディア報道種別: テレビ・ラジオ番組
-
A New-structure Magnetic Memory Device Developed(Nanowerk)
Nanowerk
2016年3月22日
メディア報道種別: テレビ・ラジオ番組
-
A New-structure Magnetic Memory Device Developed(Eurek Alert)
Eurek Alert
2016年3月22日
メディア報道種別: テレビ・ラジオ番組
-
東北大など、新構造磁気メモリ素子を開発
日経プレスリリース
2016年3月22日
メディア報道種別: テレビ・ラジオ番組
-
新構造磁気メモリ素子を開発~スピン軌道トルク磁化反転の第3の方式の動作を実証
J-Net21
2016年3月22日
メディア報道種別: テレビ・ラジオ番組
-
超高速・小電流のMRAMに道
日経テクノロジーオンライン
2016年3月22日
メディア報道種別: テレビ・ラジオ番組
-
Team reveals the physics for the mechanism of slow change in microscopic magnetic structures (HighGeekly)
HighGeekly
2015年12月16日
メディア報道種別: テレビ・ラジオ番組
-
東北大、ミクロな磁気構造のゆっくりした変化に統一的な理解もたらす
Optronics
2015年12月16日
メディア報道種別: テレビ・ラジオ番組
-
Physics for the mechanism of slow change in microscopic magnetic structures revealed (eScience News)
Science Newsline
2015年12月16日
メディア報道種別: テレビ・ラジオ番組
-
Physics for the mechanism of slow change in microscopic magnetic structures revealed (eScience News)
News United
2015年12月16日
メディア報道種別: テレビ・ラジオ番組
-
Physics for the mechanism of slow change in microscopic magnetic structures revealed (eScience News)
eScience News
2015年12月16日
メディア報道種別: テレビ・ラジオ番組
-
東北大、ミクロな磁気構造のゆっくりした変化に統一的な理解をもたらす
inforigin
2015年12月15日
メディア報道種別: テレビ・ラジオ番組
-
静大電子工学研50周年 式典や成果発表
静岡新聞
2015年11月17日
メディア報道種別: テレビ・ラジオ番組
-
ノーベル賞有力 北海道ゆかりの4人
北海道新聞
2015年11月16日
メディア報道種別: テレビ・ラジオ番組
-
MRAMは本命不在、MTJ技術の裾野には広がり
日経テクノロジーon line
2015年6月23日
メディア報道種別: テレビ・ラジオ番組
-
省エネ半導体で日本の復権を、東北大がフォーラム
IPROS
2015年3月23日
メディア報道種別: テレビ・ラジオ番組
-
キーサイト、東北大との共同研究を元に次世代メモリ測定システム
マイナビニュース
2015年3月21日
メディア報道種別: テレビ・ラジオ番組
-
省エネ半導体で日本の復権を、東北大がフォーラム
日経テクノロジーonline
2015年3月20日
メディア報道種別: テレビ・ラジオ番組
-
キーサイト、東北大との共同研究を元に次世代メモリ測定システムを製品化
BIGLOBEニュース
2015年3月19日
メディア報道種別: テレビ・ラジオ番組
-
キーサイト、東北大との共同研究を元に次世代メモリ測定システムを製品
Yahoo!ニュース
2015年3月19日
メディア報道種別: テレビ・ラジオ番組
-
キーサイト、東北大との共同研究を元に次世代メモリ測定システムを製品化
マイナビニュース
2015年3月19日
メディア報道種別: テレビ・ラジオ番組
-
CIES/キーサイト、STT-MRAM測定システムを製品
Electronic Journal
2015年3月18日
メディア報道種別: テレビ・ラジオ番組
-
Keysight Technologies Collaborates with Tohoku University on STT-MRAM Test Solution for Super Low-Power Electronic Systems
THOMASNET
2015年3月18日
メディア報道種別: テレビ・ラジオ番組
-
Keysight Technologies to launch an STT-MRAM test solution product developed in collaboration with Tohoku and the CIES
MRAM-Info.com
2015年3月17日
メディア報道種別: テレビ・ラジオ番組
-
東北大とキーサイト・テクノロジー、次世代メモリーSTT-MRAM測定システムを製品化
日経プレスリリース
2015年3月17日
メディア報道種別: テレビ・ラジオ番組
-
大学解剖 東北大 実学の杜最先端走る
日経産業新聞
2015年1月20日
メディア報道種別: テレビ・ラジオ番組
-
大学解剖「実学の杜 最先端走る」
日経産業新聞
2015年1月20日
メディア報道種別: テレビ・ラジオ番組
-
ノーベル賞日本人受賞者は?
産経新聞東京
2014年10月6日
メディア報道種別: テレビ・ラジオ番組
-
ノーベル賞日本人受賞者は?
Yahoo!ニュース
2014年10月6日
メディア報道種別: テレビ・ラジオ番組
-
ノーベル賞、今年は誰に?
NHK総合 おはよう日本
2014年10月5日
メディア報道種別: テレビ・ラジオ番組
-
科学教育欄「全国理系 学び舎紀行」
電気新聞
2014年9月9日
メディア報道種別: テレビ・ラジオ番組
-
自然科学3分野 期待高まるノーベル賞
日刊工業新聞
2014年9月9日
メディア報道種別: テレビ・ラジオ番組
-
東北大、FIRSTプログラムによるスピントロニクス関連の研究成果を発表
マイナビニュース
2014年2月14日
メディア報道種別: テレビ・ラジオ番組
-
垂直磁化で大容量実現へ11nm素子で初の動作実証
半導体産業新聞
2014年2月12日
メディア報道種別: テレビ・ラジオ番組
-
スピントロニクス活用し無線センサーの電池寿命を10倍に延ばす技術
日刊工業新聞Newsウェーブ
2014年2月11日
メディア報道種別: テレビ・ラジオ番組
-
消費電力80分の1の半導体 NECと東北大、磁石の性質利用
日経産業新聞
2014年2月11日
メディア報道種別: テレビ・ラジオ番組
-
消費電力を1/80に低減できるセンサー端末向け不揮発性マイコン、NECと東北大学が共同開発
NikkeiBPnet
2014年2月10日
メディア報道種別: テレビ・ラジオ番組
-
究極の記憶素子に応用 次世代電子スピン技術
日経産業新聞
2014年2月3日
メディア報道種別: テレビ・ラジオ番組
-
電子産業復興研究者ら探る
河北新報
2014年1月23日
メディア報道種別: テレビ・ラジオ番組
-
東北大・京大、20ナノメートル素子の情報書き換え能力を確認-低消費電力で機能
日刊工業新聞
2013年12月27日
メディア報道種別: テレビ・ラジオ番組
-
東北大、直径11nmサイズの磁気トンネル接合素子を作製
マイナビニュース
2013年12月11日
メディア報道種別: テレビ・ラジオ番組
-
電流量1/100で動作 半導体メモリー素子開発 東北大
日経産業新聞
2013年12月11日
メディア報道種別: テレビ・ラジオ番組
-
読みほぐし/<本日のテーマ>半導体開発で日米連携
日本経済新聞
2013年12月10日
メディア報道種別: テレビ・ラジオ番組
-
パソコン1~2秒で起動 東北大が新型メモリー
日本経済新聞
2013年12月10日
メディア報道種別: テレビ・ラジオ番組
-
次世代メモリー研究拠点が開設/東北大に日米20社など結集
日本経済新聞
2013年11月28日
メディア報道種別: テレビ・ラジオ番組
-
東北大、センター開所/産学連携 次世代磁気メモリ研究
河北新報
2013年11月28日
メディア報道種別: テレビ・ラジオ番組
-
次世代半導体開発へ/日米20社、東北大拠点に
朝日新聞
2013年11月28日
メディア報道種別: テレビ・ラジオ番組
-
東北大発メモリー革命/MRAM開発拠点始動/東芝出身教授を軸に日米20社強参画/黒子は東エレク
日本産業新聞
2013年11月28日
メディア報道種別: テレビ・ラジオ番組
-
日米で次世代半導体/マイクロンなど20社超参加/DRAM置き換え/量産技術16年度めどに
日本経済新聞
2013年11月24日
メディア報道種別: テレビ・ラジオ番組
-
東北大に産学連携拠点 次世代磁気メモリ開発
日経産業新聞
2013年10月22日
メディア報道種別: テレビ・ラジオ番組
-
東北大に産学連携拠点 次世代磁気メモリ開発
日本経済新聞
2013年10月22日
メディア報道種別: テレビ・ラジオ番組
-
ナノ秒電流パルスによる磁壁の高制御性を実証
科学新聞
2013年9月13日
メディア報道種別: テレビ・ラジオ番組
-
東北大、強磁性細線中の磁壁をナノ秒電流パルスにより極めて高い確率で制御
マイナビニュース
2013年8月21日
メディア報道種別: テレビ・ラジオ番組
-
超高速で演算 磁気素子を開発
日本経済新聞
2013年8月20日
メディア報道種別: テレビ・ラジオ番組
-
東北大、超高速で演算できる磁気素子を開発
日本経済新聞電子版
2013年8月19日
メディア報道種別: テレビ・ラジオ番組
-
日本のイノベーター 強磁性半導体の開発 日の丸半導体復活へ奮闘
日経産業新聞
2013年7月24日
メディア報道種別: テレビ・ラジオ番組
-
日本のイノベーター 強磁性半導体の開発 消費電力100分の1に
日経産業新聞
2013年7月23日
メディア報道種別: テレビ・ラジオ番組
-
スピントロニクス素子と半導体集積回路の融合でナノテクノロジーを深化
2013年版ものづくり白書
2013年7月1日
メディア報道種別: テレビ・ラジオ番組
-
微小な磁気正確に測定
日経産業新聞
2013年6月28日
メディア報道種別: テレビ・ラジオ番組
-
東北大、スピン流の定量的評価手法を確立
OPTRONICS
2013年6月24日
メディア報道種別: テレビ・ラジオ番組
-
東北大、スピントロニクス材料中に流れるスピン流の定量的評価に成功a
日経プレスリリース
2013年6月21日
メディア報道種別: テレビ・ラジオ番組
-
東北大、スピントロニクス材料中に流れるスピン流の定量的評価に成功
マイナビニュース
2013年6月21日
メディア報道種別: テレビ・ラジオ番組
-
NEC and Tohoku University developed a spintronics text-search chip that cuts power reduction by 99%
Spintronics-Info.com
2013年6月16日
メディア報道種別: テレビ・ラジオ番組
-
NEC Corp : Tohoku University Develops World's First Nonvolatile Memory Applicable to L3 Cache
4-traders
2013年6月13日
メディア報道種別: テレビ・ラジオ番組
-
Spintronics-based logic LSI for text search reduces power consumption
TMC News
2013年6月11日
メディア報道種別: テレビ・ラジオ番組
-
Tohoku University develops world's first nonvolatile memory applicable to L3 cache
Individual com
2013年6月11日
メディア報道種別: テレビ・ラジオ番組
-
1メガNVRAM待機電力ゼロ実証 東北大・NEC
日刊工業新聞
2013年6月11日
メディア報道種別: テレビ・ラジオ番組
-
ネット検索 消費電力100分の1に
日本経済新聞
2013年6月11日
メディア報道種別: テレビ・ラジオ番組
-
東北大とNEC,大容量ロジック混載用不揮発性メモリの動作実証に成功
日経プレスリリース
2013年6月10日
メディア報道種別: テレビ・ラジオ番組
-
東北大とNEC,大容量ロジック混載用不揮発性メモリの動作実証に成功
マイナビニュース
2013年6月10日
メディア報道種別: テレビ・ラジオ番組
-
東北大学とNEC、文字検索処理の消費電力を1/100に低減できる不揮発性CAMを開発
日経BP半導体リサーチ
2013年6月10日
メディア報道種別: テレビ・ラジオ番組
-
東北大学とNEC、消費電力を1/100に削減する集積回路を試作・・・
RBB TODAY
2013年6月10日
メディア報道種別: テレビ・ラジオ番組
-
Spintronics-based logic LSI for text search reduces power consumption
4-traders
2013年6月10日
メディア報道種別: テレビ・ラジオ番組
-
東北大に産学連携拠点 次世代磁気メモリ開発
日経新聞
2012年8月25日
メディア報道種別: テレビ・ラジオ番組
-
次世代半導体メモリ研究 東北大に最先端拠点
日経新聞
2012年8月25日
メディア報道種別: テレビ・ラジオ番組
-
スピントロニクスメモリの製造技術開発を開始
半導体産業新聞
2011年12月6日
メディア報道種別: テレビ・ラジオ番組
-
600MHzの世界最高速の不揮発性回路の動作に成功
半導体産業新聞
2011年12月6日
メディア報道種別: テレビ・ラジオ番組
-
待機電力ゼロ集積回路の開発に成功
半導体産業新聞
2011年6月29日
メディア報道種別: テレビ・ラジオ番組
-
仙台市国際産学連携フェローに就任
2010年7月6日
メディア報道種別: テレビ・ラジオ番組
-
メモリ新時代
半導体産業新聞
2006年8月30日
メディア報道種別: テレビ・ラジオ番組
-
高速書き込みNOR型フラッシュメモリ
日経産業新聞
2006年7月4日
メディア報道種別: テレビ・ラジオ番組
-
100Mバイト/秒で書ける新型フラッシュに沸く
日経エレクトロニクス
2006年7月3日
メディア報道種別: テレビ・ラジオ番組
-
シリコンで光通信用分配回路
日経産業新聞
2000年2月9日
メディア報道種別: テレビ・ラジオ番組
-
高性能のMOSシリコン集積回路開発
河北新報
2000年2月9日
メディア報道種別: テレビ・ラジオ番組
-
ニュース7
NHK
2000年2月8日
メディア報道種別: テレビ・ラジオ番組
-
ニュース(15:00,18:00,20:45の計三回)
NHK BS
2000年2月8日
メディア報道種別: テレビ・ラジオ番組
その他 22
-
世界の知を呼び込むIT/輸送システム分野融合型エレクトロニクス技術の創出
-
半導体集積デバイス向け二次元電子・スピン材料研究拠点
-
無充電で長時間使用できる究極のエコIT機器の実現
-
縦型BC-MOSFET による三次元集積工学と応用展開
-
GaN双方向電力変換機器の研究開発「
-
国際産学連携集積エレクトロニクス研究開発拠点の構築と宮城発イノベーションの促進
-
省エネ社会へ向けた磁気トンネル接合素子とその量産技術の開発
-
Vertical Device and its Process
-
低消費電力・スピントロニクス論理集積回路の開発
-
縦型ボディーチャネルMOSFETとその集積プロセスの開発
-
縦型構造の電荷蓄積膜方式セルを積層した超高密度不揮発性半導体メモリの製造技術の開発
-
高速データ書き込み性能を有する超大容量3次元構造不揮発性半導体メモリの開発
-
デバイス特性揺らぎにRobustな20GHz動作超高速ロジック回路の開発
-
高機能・超低消費電力コンピューチィングのためのデバイス・システム基盤技術の研究開発
-
Vertical Field Effect Device and its Process
-
高駆動・低電圧動作なオーミック接合・基板接地型有機トランジスタの設計
-
20GHz動作を目指す超高速ロジック回路技術の開発
-
有機/有機ヘテロエピタキシーを利用した高品質有機半導体薄膜の作製
-
30GHz動作集積回路用シリコン超高速MOS回路技術の開発
-
立体チャネルMOSFET・縦型MOSFETによる駆動力向上・ゲート制御性向上・特性ばらつき抑制技術に関する先導調査
-
ナノ構造デバイス材料技術に関する研究
-
3次元デバイスを用いた高機能通信用集積回路の研究開発