-
博士(工学)(東北大学)
-
理学修士(東京工業大学)
研究者詳細
経歴 2
-
1999年9月 ~ 継続中東北大学
-
1982年4月 ~ 1999年8月キヤノン株式会社
学歴 3
-
東北大学 大学院工学研究科 電子工学専攻博士後期3年の課程
1995年4月 ~ 1996年3月
-
東京工業大学 大学院理工学研究科 物理学専攻
1980年4月 ~ 1982年3月
-
東京工業大学 理学部 物理学科
1978年4月 ~ 1982年3月
所属学協会 2
-
映像情報メディア学会
-
The Institute of Electrical and Electronics Engineering (IEEE)
研究キーワード 4
-
CMOS
-
半導体
-
イメージセンサ
-
電子工学
研究分野 1
-
ものづくり技術(機械・電気電子・化学工学) / 電子デバイス、電子機器 / 固体電子工学
受賞 15
-
The 2025 IISS Pioneering Achievement Award
2025年6月 International Image Sensor Society(IISS) High dynamic range charge overflow pixel technologies
-
丹羽高柳賞 功績賞
2024年5月 映像情報メディア学会
-
第61回(2022年度)丹羽高柳賞 論文賞
2022年5月 映像情報メディア学会 High Accuracy High Spatial Resolution and Real-Time CMOS Proximity Capacitance Image Sensor Technology and its Applications
-
第47回(令和4年度)井上春成賞
2022年5月 国立研究開発法人科学技術振興機構 高速度ビデオカメラの開発
-
The 2016 nac High Speed Imaging Award
2016年11月 International Congress on High Speed Imaging and Photonics The development of Ultra High Speed CMOS Image Sensor
-
全国発明表彰日本経済団体連合会会長発明賞
2015年6月17日 公益社団法人発明協会
-
2015 International Image Sensor Workshop Best Poster Award
2015年6月10日 Program Committee, 2015 International Image Sensor Workshop
-
映像情報メディア学会フェロー称号授与
2015年5月 映像情報メディア学会
-
映像情報メディア学会 第17回・平成26年度優秀研究発表賞
2014年12月17日 映像情報メディア学会 20Mfpsの撮像速度を有する超高速CMOSイメージセンサの画素構造
-
丹羽高柳賞 論文賞
2009年5月 映像情報メディア学会 局所境界要素法によるイメージセンサの3次元波動光学シミ ュレーション
-
優秀研究発表賞
2008年12月 映像情報メディア学会 大規模アレイTEGを用いた画素ソースフォロア相当のトランジス タのランダム・テレグラフ・シグナル・ノイズの統計的解析
-
生産システム部門 技術業績賞
2008年7月 日本機械学会 群企画製品のモジュール化設計をベースとした生 産システムの開発
-
特許審査委員会賞
1991年5月 キヤノン株式会社 光電変換装置開発
-
社長賞
1990年5月 キヤノン株式会社 固定パターンノイズを低減させた光電変換装置開発
-
特別社長賞
1988年5月 キヤノン株式会社 BASISオートフォーカスセンサ開発
論文 660
-
A High SNR Global Shutter CMOS Image Sensor Technology for High Precision Absorption Imaging Applications
Tetsu Oikawa, Rihito Kuroda, Aoi Hamaya, Yoshinobu Shiba, Takafumi Inada, Yushi Sakai, Yasuyuki Shirai, Shigetoshi Sugawa
ITE Transactions on Media Technology and Applications 12 (2) 167-174 2024年4月
出版者・発行元: Institute of Image Information and Television EngineersDOI: 10.3169/mta.12.167
eISSN:2186-7364
-
Threshold voltage uniformity improvement by introducing charge injection tuning for low-temperature poly-Si thin film transistors with metal/oxide/nitride/oxide/silicon structure 査読有り
Tetsuya Goto, Tomoyuki Suwa, Keita Katayama, Shu Nishida, Hiroshi Ikenoue, Shigetoshi Sugawa
Japanese Journal of Applied Physics 63 (2) 02SP51 2024年1月17日
出版者・発行元: IOP PublishingDOI: 10.35848/1347-4065/ad184d
ISSN:0021-4922
eISSN:1347-4065
-
Nanoshell Formation at the Electrically Charged Gas–Water Interface of Collapsing Microbubbles: Insights from Atomic Force Microscopy Imaging 査読有り
Masayoshi Takahashi, Yasuyuki Shirai, Shigetoshi Sugawa
The Journal of Physical Chemistry Letters 15 (1) 220-225 2024年1月11日
DOI: 10.1021/acs.jpclett.3c03314
-
Impact of Bulk Nanobubble Water on a TiO<inf>2</inf> Solid Surface: A Case Study for Medical Implants
Masayoshi Takahashi, Masahiro Nakazawa, Takahiro Nishimoto, Mitsuyuki Odajima, Yasuyuki Shirai, Shigetoshi Sugawa
Langmuir 2024年
DOI: 10.1021/acs.langmuir.4c03339
ISSN:0743-7463
eISSN:1520-5827
-
Visualization and Analysis of Temporal and Steady-State Gas Concentration in Process Chamber Using 70-dB SNR 1,000 fps Absorption Imaging System 査読有り
Y. Sakai, Y. Shiba, T. Inada, T. Goto, T. Suwa, T. Oikawa, A. Hamaya, A. Sutoh, T. Morimoto, Y. Shirai, S. Sugawa, R. Kuroda
IEEE Transactions on Semiconductor Manufacturing 36 (4) 515-519 2023年11月
出版者・発行元: Institute of Electrical and Electronics Engineers (IEEE)ISSN:0894-6507
eISSN:1558-2345
-
Mineralization of Poly(vinyl alcohol) by Ozone Microbubbles under a Wide Range of pH Conditions 査読有り
Masayoshi Takahashi, Ryo Nakatsuka, Shuzo Kutsuna, Yasuyuki Shirai, Shigetoshi Sugawa
Langmuir 39 15215-15221 2023年10月18日
DOI: 10.1021/acs.langmuir.3c01838
-
Threshold Voltage Control of LTPS TFTs with MONOS Structure 査読有り
Tetsuya Goto, Tomoyuki Suwa, Keita Katayama, Shu Nishida, Hiroshi, Ikenoue, Shigetoshi Sugawa
2023 International Conference on Solid State Devices and Materials E-7-02 245-246 2023年9月
-
Characterization of MONOS-Type Polycrystalline Silicon Thin Film Transistors 査読有り
Tetsuya Goto, Tomoyuki Suwa, Keita Katayama, Shu Nishida, Hiroshi Ikenoue, Shigetoshi Sugawa
2023 Asia-Pacific Workshop on Fundamentals and Applications of advanced Semiconductor Devices (AWAD2023) p-4 2023年7月
-
Accelerated germination of aged recalcitrant seeds by K+-rich bulk oxygen nanobubbles
Mijung Kim, Akio Shoji, Toshiaki Kobayashi, Yasuyuki Shirai, Shigetoshi Sugawa, Masayoshi Takahashi
Scientific Reports 13 (1) 2023年2月
DOI: 10.1038/s41598-023-30343-2
eISSN:2045-2322
-
In Situ Measurement and Analysis of Low Pressure Gas Concentration Distribution Using 70-dB SNR 1,000 Frame-per-second Absorption Imaging System 査読有り
Yushi Sakai, Yoshinobu Shiba, Takafumi Inada, Tetsuya Goto, Tomoyuki Suwa, Akihito Sutoh, Tatsuo Morimoto, Yasuyuki Shirai, Shigetoshi Sugawa, Tetsu Oikawa, Aoi Hamaya, Rihito Kuroda
International Symposium on Semiconductor Manufacturing 2022 PM-41 2022年12月
-
Effect of charge-up of surfaces of sintered Y2O3 and yttrium oxyfluoride on their erosion rates due to ion bombardment 査読有り
Tetsuya Goto, Yoshinobu Shiba, Akinobu Teramoto, Yukio Kishi, Shigetoshi Sugawa
Journal of Vacuum Science & Technology B 40 (6) 062205-1-062205-7 2022年11月
出版者・発行元: American Vacuum SocietyDOI: 10.1116/6.0002162
ISSN:2166-2746
eISSN:2166-2754
-
High Resolution and High Speed Inspection Equipment for Mini-LED Substrates 査読有り
Toshiro Yasuda, Kazuhisa Kobayashi, Yuichi Yamamoto, Hiroshi Hamori, Wei, Cheng, Ryan Ge, Shigetoshi Sugawa
International Conference on Display Technology, ICDT 2022 53 (S1) 363-366 2022年7月
出版者・発行元: WileyDOI: 10.1002/sdtp.15949
ISSN:0097-966X
eISSN:2168-0159
-
Silicon Nitride Film Formations Using Magnetic-Mirror Confined Plasma System Developed for Minimal Fab System 査読有り
Tetsuya Goto, Thai Quoc Cuong, Seiji Kobayashi, Yuki Yabuta, Shigetoshi, Sugawa Shiro Hara
2022 Asia-Pacific Workshop on Fundamentals and Applications of advanced Semiconductor Devices (AWAD) 2022年7月
-
Adsorption and surface reaction of isopropyl alcohol on SiO2 surfaces 査読有り
Takezo Mawaki, Akinobu Teramoto, Katsutoshi Ishii, Yoshinobu Shiba, Rihito Kuroda, Tomoyuki Suwa, Shuji Azumo, Akira Shimizu, Kota Umezawa, Yasuyuki Shirai, Shigetoshi Sugawa
Journal of Vacuum Science & Technology A 40 (5) 053201-1-053201-8 2022年7月
-
A 70-dB SNR High-Speed Global Shutter CMOS Image Sensor for in Situ Fluid Concentration Distribution Measurements 査読有り
Tetsu Oikawa, Rihito Kuroda, Keigo Takahashi, Yoshinobu Shiba, Yasuyuki Fujihara, Hiroya Shike, Maasa Murata, Chia-Chi Kuo, Yhang Ricardo, Sipauba Carvalho, da Silva, Tetsuya Goto, Tomoyuki Suwa, Tatsuo Morimoto, Yasuyuki Shirai, Takafumi Inada, Yushi Sakai, Masaaki Nagase, Nobukazu Ikeda, Shigetoshi Sugawa
IEEE Transactions on Electron Devices 69 (6) 2965-2972 2022年6月
ISSN:0018-9383
eISSN:1557-9646
-
Two High-Precision Proximity Capacitance CMOS Image Sensors with Large Format and High Resolution. 査読有り
Yuki Sugama, Yoshiaki Watanabe, Rihito Kuroda, Masahiro Yamamoto, Tetsuya Goto, Toshiro Yasuda, Hiroshi Hamori, Naoya Kuriyama, Shigetoshi Sugawa
Sensors 22 (7) 2770-2770 2022年4月
DOI: 10.3390/s22072770
-
Array of GaN micro-LED chips and monocrystal Si CMOS pixel circuit chips mounted on flexible substrate 査読有り
Tetsuya Goto, Takeshi Okuno, Yoshikazu Suzuki, Koichi Kajiyama, Yoshihiko Muramoto, Shigetoshi Sugawa
The 28th International Display Workshops FMC2-4L 2021年12月
-
Sub-aF Detection Accuracy CMOS Proximity Capacitance Image Sensors for Inspection, Authentification and More 査読有り
Rihito Kuroda, Yuki Sugama, Yoshiaki Watanabe, Tetsuya Goto, Toshiro Yasuda, Shinichi Murakami, Hiroshi Hamori, Shigetoshi Sugawa
The 28th International Display Workshops INP5-1 2021年12月
-
High Resolution Array Tester for Flat Panel Display Using Proximity Capacitance Image Sensor 査読有り
Toshiro Yasuda, Kazuhisa Kobayashi, Yuichi Yamamoto, Hiroshi Hamori, Akinobu Teramoto, Rihito Kuroda, Shigetoshi Sugawa
The 28th International Display Workshops FMC7/AIS9-4 2021年12月
-
CMOSイメージセンサの感度・飽和・撮影速度・分光感度性能の追究 査読有り
須川 成利, 黒田 理人
映像情報メディア学会誌 75 (6) 729-733 2021年11月
-
High-precision CMOS Proximity Capacitance Image Sensors with Large-format 12 μm and High-resolution 2.8 μm Pixels 査読有り
Yuki Sugama, Yoshiaki Watanabe, Rihito Kuroda, Masahiro Yamamoto, Tetsuya Goto, Toshiro Yasuda, Shinichi Murakami, Hiroshi Hamori, Naoya Kuriyama, Shigetoshi Sugawa
International Image Sensor Workshop (IISW) 288-291 2021年9月
-
A 1000fps High SNR Voltage-domain Global Shutter CMOS Image Sensor with Two-stage LOFIC for In-Situ Fluid Concentration Distribution Measurements 査読有り
Tetsu Oikawa, Rihito Kuroda, Keigo Takahashi, Yoshinobu Shiba, Yasuyuki Fujihara, Hiroya Shike, Maasa Murata, Chia-Chi Kuo, Yhang Ricardo, Sipauba Carvalho, da Silva, Tetsuya Goto, Tomoyuki Suwa, Tatsuo Morimoto, Yasuyuki Shirai, Masaaki Nagase, Nobukazu Ikeda, Shigetoshi Sugawa
International Image Sensor Workshop (IISW) 258-261 2021年9月
-
A high-precision current measurement platform applied for statistical measurement of discharge current transient spectroscopy of traps in SiN dielectrics 査読有り
Koga Saito, Hayato Suzuki, Hyeonwoo Park, Rihito Kuroda, Akinobu Teramoto, Tomoyuki Suwa, Shigetoshi Sugawa
Japanese Journal of Applied Physics 60 (8) 086501 2021年7月27日
DOI: 10.35848/1347-4065/ac1215
-
High capacitance density highly reliable textured deep trench SiN capacitors toward 3D integration 査読有り
Koga Saito, Ayano Yoshida, Rihito Kuroda, Hiroshi Shibata, Taku Shibaguchi, Naoya Kuriyama, Shigetoshi Sugawa
Japanese Journal of Applied Physics 60 (SB) SBBC06-1-SBBC06-7 2021年5月
DOI: 10.35848/1347-4065/abec5f
ISSN:0021-4922
eISSN:1347-4065
-
Analysis of Reaction and Decomposition of Isopropyl Alcohol on Copper and Copper Oxide Surfaces Toward Area-selective Processes 査読有り
Takezo Mawaki, Akinobu Teramoto, Katsutoshi Ishii, Yoshinobu Shiba, Tomoyuki Suwa, Shuji Azumo, Akira Shimizu, Kota Umezawa, Rihito Kuroda, Yasuyuki Shirai, Shigetoshi Sugawa
5th Area-Selective Deposition Workshop (ASD 2021) session3-4 1 2021年4月
-
Free-Radical Generation from Bulk Nanobubbles in Aqueous Electrolyte Solutions: ESR Spin-Trap Observation of Microbubble-Treated Water 査読有り
Masayoshi Takahashi, Yasuyuki Shirai, Shigetoshi Sugawa
LANGMUIR 37 (16) 5005-5011 2021年4月
DOI: 10.1021/acs.langmuir.1c00469
ISSN:0743-7463
-
Impact on the Conductance Method of the Asymmetry in the AC Response Induced by Interface Trap Levels 査読有り
Hsin Jyun Lin, Hiroshi Watanabe, Akinobu Teramoto, Rihito Kuroda, Kota Umezawa, Kiichi Furukawa, Shigetoshi Sugawa
ECS Journal of Solid State Science and Technology 10 (4) 2021年4月
ISSN:2162-8769
eISSN:2162-8777
-
A Global Shutter Wide Dynamic Range Soft X-Ray CMOS Image Sensor With Backside-Illuminated Pinned Photodiode, Two-Stage Lateral Overflow Integration Capacitor, and Voltage Domain Memory Bank 査読有り
Hiroya Shike, Rihito Kuroda, Ryota Kobayashi, Maasa Murata, Yasuyuki Fujihara, Manabu Suzuki, Shoma Harada, Taku Shibaguchi, Naoya Kuriyama, Takaki Hatsui, Jun Miyawaki, Tetsuo Harada, Yuichi Yamasaki, Takeo Watanabe, Yoshihisa Harada, Shigetoshi Sugawa
IEEE TRANSACTIONS ON ELECTRON DEVICES 68 (4) 2056-2063 2021年4月
ISSN:0018-9383
eISSN:1557-9646
-
A Proposal of Analog Correlated Multiple Sampling with High Density Capacitors for Low Noise CMOS Image Sensors 査読有り
Shunta Kamoshita, Manabu Suzuki, Rihito Kuroda, Shigetoshi Sugawa
Electronic Imaging 2021, Imaging Sensors and Systems 2021, Society for Imaging Science and Technology,(2021) 2021年1月
-
An over 120 dB Single Exposure Wide Dynamic Range CMOS Image Sensor with Two-Stage Lateral Overflow Integration Capacitor 査読有り
Yasuyuki Fujihara, Maasa Murata, Shota Nakayama, Rihito Kuroda, Shigetoshi Sugawa
IEEE Transactions on Electron Devices 68 (1) 152-157 2021年1月
ISSN:0018-9383
eISSN:1557-9646
-
Modification of copper and copper oxide surface states due to isopropyl alcohol treatment toward area-selective processes 査読有り
Takezo Mawaki, Akinobu Teramoto, Katsutoshi Ishii, Yoshinobu Shiba, Rihito Kuroda, Tomoyuki Suwa, Shuji Azumo, Akira Shimizu, Kota Umezawa, Yasuyuki Shirai, Shigetoshi Sugawa
Journal of Vacuum Science and Technology A: Vacuum, Surfaces and Films 39 (1) 013403-1 2021年1月1日
DOI: 10.1116/6.0000618
ISSN:0734-2101
eISSN:1520-8559
-
High accuracy high spatial resolution and real-time CMOS proximity capacitance image sensor technology and its applications 査読有り
Rihito Kuroda, Masahiro Yamamoto, Yuki Sugama, Yoshiaki Watanabe, Manabu Suzuki, Tetsuya Goto, Toshiro Yasuda, Shinichi Murakami, Yayoi Yokomichi, Hiroshi Hamori, Shigetoshi Sugawa
ITE Transactions on Media Technology and Applications 9 (2) 122-127 2021年
DOI: 10.3169/mta.9.122
eISSN:2186-7364
-
A global shutter wide dynamic range soft X-ray CMOS image sensor with BSI pinned photodiode, two-stage LOFIC and voltage domain memory bank 査読有り
H. Shike, R. Kuroda, R. Kobayashi, M. Murata, Y. Fujihara, M. Suzuki, T. Shibaguchi, N. Kuriyama, J. Miyawaki, T. Harada, Y. Yamasaki, T. Watanabe, Y. Harada, S. Sugawa
Technical Digest - International Electron Devices Meeting, IEDM 2020-December 16.4.1-16.4.4 2020年12月12日
DOI: 10.1109/IEDM13553.2020.9372058
ISSN:0163-1918
-
Over 230 fF/μm2 capacitance density 9.0V breakdown voltage textured deep trench SiN capacitors toward 3D integration 査読有り
Koga Saito, Ayano Yoshida, Rihito Kuroda, Hiroshi Shibata, Taku Shibaguchi, Naoya Kuriyama, Shigetoshi Sugawa
2020 International Conference on Solid State Devices and Materials C-04-02 143-144 2020年9月
-
Low-energy high-flux ion bombardment-induced interfacial mixing during Al2O3 plasma-enhanced atomic layer deposition 査読有り
Masaki Hirayama, Shigetoshi Sugawa
Journal of Vacuum Science & Technology A 38 052407 2020年8月19日
DOI: 10.1116/6.0000388
-
Influence of silicon wafer surface roughness on semiconductor device characteristics 査読有り
Keiichiro Mori, Shuichi Samata, Noritomo Mitsugi, Akinobu Teramoto, Rihito Kuroda, Tomoyuki Suwa, Keiichi Hashimoto, Shigetoshi Sugawa
Japanese Journal of Applied Physics 59 2020年7月1日
DOI: 10.35848/1347-4065/ab918c
ISSN:0021-4922
eISSN:1347-4065
-
Plasma resistance of sintered and ion-plated yttrium oxyfluorides with various Y, O, and F composition ratios for use in plasma process chamber 査読有り
Tetsuya Goto, Yoshinobu Shiba, Akinobu Teramoto, Yukio Kishi, Shigetoshi Sugawa
Journal of Vacuum Science & Technology A 38 043003-1-043003-9 2020年6月11日
DOI: 10.1116/1.5142515
-
Control of ion-flux and ion-energy in direct inductively coupled plasma reactor for interfacial-mixing plasma-enhanced atomic layer deposition 査読有り
Masaki Hirayama, Akinobu Teramoto, Shigetoshi Sugawa
Journal of Vacuum Science & Technology A 38 032408 2020年4月6日
DOI: 10.1116/6.0000021
-
Study on Influence of O2 Concentration in Wafer Cleaning Ambient for Smoothness of Silicon (110) Surface Appearing at Sidewall of Three-Dimensional Transistors 査読有り
Tomoyuki Suwa, Akinobu Teramoto, Yasuyuki Shirai, Takenobu Matsuo, Nobutaka Mizutani, Shigetoshi Sugawa
ECS Transactions 93 (3) 23-29 2020年4月
ISSN:1938-6737
eISSN:1938-5862
-
Effect of Drain-to-Source Voltage on Random Telegraph Noise Based on Statistical Analysis of MOSFETs with Various Gate Shapes 査読有り
R. Akimoto, R. Kuroda, A. Teramoto, T. Mawaki, S. Ichino, T. Suwa, S. Sugawa
IEEE International Reliability Physics Symposium Proceedings 2020-April 2020年4月
DOI: 10.1109/IRPS45951.2020.9128341
ISSN:1541-7026
-
Resistance Measurement Platform for Statistical Analysis of Emerging Memory Materials 査読有り
Takeru Maeda, Yuya Omura, Rihito Kuroda, Akinobu Teramoto, Tomoyuki Suwa, Shigetoshi Sugawa
IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING 33 (2) 232-239 2020年3月
ISSN:0894-6507
eISSN:1558-2345
-
A High Near-Infrared Sensitivity Over 70-dB SNR CMOS Image Sensor With Lateral Overflow Integration Trench Capacitor 査読有り
Maasa Murata, Rihito Kuroda, Yasuyuki Fujihara, Yusuke Otsuka, Hiroshi Shibata, Taku Shibaguchi, Yutaka Kamata, Noriyuki Miura, Naoya Kuriyama, Shigetoshi Sugawa
IEEE TRANSACTIONS ON ELECTRON DEVICES 67 (4) 1653-1659 2020年3月
ISSN:0018-9383
eISSN:1557-9646
-
High reliability CoFeB/MgO/CoFeB magnetic tunnel junction fabrication using low-damage ion beam etching 査読有り
Hyeonwoo Park, Akinobu Teramoto, Jun-ichi Tsuchimoto, Keiichi Hashimoto, Tomoyuki Suwa, Marie Hayashi, Rihito Kuroda, Koji Tsunekawa, Shigetoshi Sugawa
Japanese Journal of Applied Physics 50 (SGGB05) 1-8 2020年2月19日
DOI: 10.35848/1347-4065/ab6cb5
-
Over 100 Million Frames per Second 368 Frames Global Shutter Burst CMOS Image Sensor with Pixel-wise Trench Capacitor Memory Array 査読有り
Manabu Suzuki, Yuki Sugama, Rihito Kuroda, Shigetoshi Sugawa
Sensors (MDPI) 20 (4) 16-1086 2020年2月17日
DOI: 10.3390/s20041086
-
A high-precision 1 Ω-10 MΩ range resistance measurement platform for statistical evaluation of emerging memory materials 査読有り
Takeru Maeda, Yuya Omura, Rihito Kuroda, Akinobu Teramoto, Tomoyuki Suwa, Shigetoshi Sugawa
Japanese Journal of Applied Physics 59 (SG) SGGL03-1-SGGL03-9 2020年2月
DOI: 10.35848/1347-4065/ab6d86
ISSN:0021-4922
eISSN:1347-4065
-
高感度・高精細・リアルタイム近接容量イメージセンサ 査読有り
黒田理人, 山本将大, 須川成利
応用物理 89 (6) 328-332 2020年
-
An over 120dB dynamic range linear response single exposure CMOS image sensor with two-stage lateral overflow integration trench capacitors 査読有り
Yasuyuki Fujihara, Maasa Murata, Shota Nakayama, Rihito Kuroda, Shigetoshi Sugawa
Electronic Imaging ISS-143 143-1-143-5 2020年1月
-
Preserved color pixel: High-resolution and high-color-fidelity image acquisition using single image sensor with sub-half-micron pixels 査読有り
Yuichiro Yamashita, Rihito Kuroda, Shigetoshi Sugawa
ITE Transactions on Media Technology and Applications 8 (3) 161-169 2020年
DOI: 10.3169/mta.8.161
eISSN:2186-7364
-
Study on CF4/O2 plasma resistance of O-ring elastomer materials 査読有り
Tetsuya Goto, Shogo Obara, Tomoya Shimizu, Tsuyoshi Inagaki, Yasuyuki Shirai, Shigetoshi Sugawa
Journal of Vacuum Science & Technology A 38 (1) 013002-013002-7 2020年1月
DOI: 10.1116/1.5124533
ISSN:0734-2101
eISSN:1520-8559
-
An Optical Filter-Less CMOS Image Sensor with Differential Spectral Response Pixels for Simultaneous UV-Selective and Visible Imaging
Yhang Ricardo Sipauba Carvalho da Silva, Rihito Kuroda, Shigetoshi Sugawa
Sensors (Basel, Switzerland) 20 (1) 2019年12月18日
DOI: 10.3390/s20010013
eISSN:1424-8220
-
A 120-ke− Full-Well Capacity 160-µV/e− Conversion Gain 2.8-µm Backside-Illuminated Pixel with a Lateral Overflow Integration Capacitor 査読有り
Isao Takayanagi, Ken Miyauchi, Shunsuke Okura, Kazuya Mori, Junichi Nakamura, Shigetoshi Sugawa
Sensors 19 (24) 1-10 2019年12月17日
DOI: 10.3390/s19245572
-
Advanced CMOS image sensor technologies for sensing applications in the era of IoT 査読有り
Rihito Kuroda, Shigetoshi Sugawa
The Sixth Symposium on Novel Optoelectronic Detection Technology and Application 21-22 2019年12月
-
Dielectric breakdown of MgO in MRAM 査読有り
A. Teramoto, J. Tsuchimoto, H. Park, M. Hayashi, K. Tsunekawa, T. Suwa, R. Kuroda, S. Sugawa
2019 IEEE International Electron Devices Meeting No.4 2019年12月
-
Selective composition modification deposition utilizing ion bombardment-induced interfacial mixing during plasma-enhanced atomic layer deposition 査読有り
Masaki Hirayama, Shigetoshi Sugawa
Japanese Journal of Applied Physics 58 110902-1-110902-5 2019年10月10日
-
Plasma Resistance of Sintered Yttrium Oxyfluoride (YOF) with Various Y, O, and F Composition Ratios 査読有り
Tetsuya Goto, Yoshinobu Shiba, Akinobu Teramoto, Yukio Kishi, Shigetoshi Sugawa
AVS 66th International Symposium& Exhibition, Plasma Science and Technology PS+AS+EM+SS+TF-MoA2 2019年10月
-
Selective Laser Annealing Technology for LTPS Thin Film Transistors Fabrications 査読有り
Tetsuya Goto, Kaname Imokawa, Takahiro Yamada, Kaori Saito, Jun Gotoh, Hiroshi Ikenoue, Shigetoshi Sugawa
2019 International Conference on Solid State Devices and Materials 311-312 2019年9月
-
High Reliability CoFeB/MgO/CoFeB Magnetic Tunnel Junction Fabrication Using Low-damage Ion Beam Etching 査読有り
Hyeonwoo Park, Akinobu Teramoto, Jun-ichi Tsuchimoto, Keiichi Hashimoto, Tomoyuki Suwa, Marie Hayashi, Rihito Kuroda, Shigetoshi Sugawa
2019 International Conference on Solid State Devices and Materials 59 (SG) 401-402 2019年9月
DOI: 10.35848/1347-4065/ab6cb5
ISSN:0021-4922
eISSN:1347-4065
-
An Accuracy Improved Resistance Measurement Platform for Evaluation of Emerging Memory Materials 査読有り
Takeru Maeda, Yuya Omura, Rihito Kuroda, Akinobu Teramoto, Tomoyuki Suwa, Shigetoshi Sugawa
2019 International Conference on Solid State Devices and Materials 531-532 2019年9月
-
Evaluation of Silicon Nitride Film Formed Using Magnetic-Mirror Confined Plasma Source 査読有り
Tetsuya Goto, Seiji Kobayashi, Yuki Yabuta, Shigetoshi Sugawa
ECS Journal of Solid State Science and Technology 8 (8) N113-N118 2019年7月
-
Systematic characterization of negative-ion-containing microwave-excited plasmas by Langmuir probe measurement 査読有り
Tetsuya Goto, Shigetoshi Sugawa
The 15th International Symposium on Sputtering and Plasma Processes 25-27 2019年6月
-
A High Optical Performance 2.8μm BSI LOFIC Pixel with 120ke- FWC and 160μV/e- 査読有り
Ken Miyauchi, Shunsuke Okura, Kazuya Mori, Isao Takayanagi, Junichi Nakamura, Shigetoshi Sugawa
International Image Sensor Workshop 246-249 2019年6月
-
A VGA Optical Filter-less CMOS Image Sensor with UV-selective and Visible Light Channels by Differential Spectral Response Pixels 査読有り
Yhang Ricardo, Sipauba Carvalho da Silva, Rihito Kuroda, Shigetoshi Sugawa
International Image Sensor Workshop 302-305 2019年6月
-
Over 100 Million Frames per Second 368 Frames Global Shutter Burst CMOS Image Sensor with In-pixel Trench Capacitor Memory Array 査読有り
Manabu Suzuki, Rihito Kuroda, Shigetoshi Sugawa
International Image Sensor Workshop 20 (4) 266-269 2019年6月
DOI: 10.3390/s20041086
ISSN:1424-8220
-
SiNx Deposition at Low Temperature Using UV-irradiated NH3 査読有り
Y. Shiba, A. Teramoto, T. Suwa, K. Ishii, A. Shimizu, K. Umezawa, R. Kuroda, S. Sugawa
235th Meeting of The Electrochemical Society 1228 2019年5月
-
Investigation of Rotating Spokes in DC Magnetron Plasma Using High speed Video Camera Over 1 Million Frames Per Second 査読有り
Shintaro Yamazaki, Tetsuya Goto, Manabu Suzuki, Rihito Kuroda, Shigetoshi Sugawa
International Symposium on Sputtering and Plasma Processes FS-1-FS-3 2019年5月
-
SiNx Deposition at Low Temperature Using UV-irradiated NH3 査読有り
Y. Shiba, A. Teramoto, T. Suwa, K. Ishii, A. Shimizu, K. Umezawa, R. Kuroda, S. Sugawa
ECS Transactions 89 (4) 31-36 2019年5月
ISSN:1938-6737
eISSN:1938-5862
-
Improvement of Fundamental Technology of Three-Dimensional Thermal Compression Bonding with High Accuracy 査読有り
Kohei Seyama, Shoji Wada, Yuji Eguchi, Doug Day, Shigetoshi Sugawa
IEEE Transactions on Components, Packaging and Manufacturing Thechnology 9 (5) 836-844 2019年5月
-
Impact of CoFeB surface roughness on reliability of MgO films in CoFeB/MgO/CoFeB magnetic tunnel junction 査読有り
Hyeonwoo Park, Akinobu Teramoto, Jun-Ichi Tsuchimoto, Marie Hayashi, Keiichi Hashimoto, Shigetoshi Sugawa
Japanese Journal of Applied Physics 58 (SIIB29) SIIB29-1-SIIB29-6 2019年4月
-
A Highly Robust Silicon Ultraviolet Selective Radiation Sensor Using Differential Spectral Response Method 査読有り
Yhang Ricardo, Sipauba Carvalho da Silva, Rihito Kuroda, Shigetoshi Sugawa
Sensors 19 (12) 2755-2755 2019年4月
DOI: 10.3390/s19122755
-
Resistance Measurement Platform for Statistical Analysis of Next Generation Memory Materials 査読有り
Takeru Maeda, Yuya Omura, Akinobu Teramoto, Rihito Kuroda, Tomoyuki Suwa, Shigetoshi Sugawa
IEEE International Conference on Microelectronic Test Structures 2019-March 70-75 2019年3月
DOI: 10.1109/ICMTS.2019.8730955
-
A high-sensitivity compact gas concentration sensor using ultraviolet light absorption with a heating function for a high-precision trimethyl aluminum gas supply system 査読有り
Hidekazu Ishii, Masaaki Nagase, Nobukazu Ikeda, Yoshinobu Shiba, Yasuyuki Shirai, Rihito Kuroda, Shigetoshi Sugawa
Japanese Journal of Applied Physics 58 (SB) SBBL04-1-SBBL04-6 2019年3月
ISSN:0021-4922
eISSN:1347-4065
-
A CMOS image sensor with dual pixel reset voltage for high accuracy ultraviolet light absorption spectral imaging 査読有り
Yusuke Aoyagi, Yasuyuki Fujihara, Maasa Murata, Hiroya Shike, Rihito Kuroda, Shigetoshi Sugawa
Japanese Journal of Applied Physics 58 (SB) SBBL03-1-SBBL03-6 2019年3月
ISSN:0021-4922
eISSN:1347-4065
-
スリット型ワイヤボンダトランスデューサの小型高剛性構造 査読有り
瀬山 耕平, 角谷 修, 須川 成利
精密工学会誌 85 (2) 176-181 2019年2月
-
Influence of Silicon Wafer Surface Roughness on Semiconductor Device Characteristics 査読有り
K. Mori, S. Samata, N. Mitsugi, A. Teramoto, R. Kuroda, T. Suwa, K. Hashimoto, S. Sugawa
International Workshop on DIELECTRIC THIN FILMS FOR FUTURE ELECTRON DEVICES: SCIENCE AND TECHNOLOGY 50-51 2019年
-
Low-temperature deposition of silicon nitride films using ultraviolet-irradiated ammonia
Yoshinobu Shiba, Akinobu Teramoto, Tomoyuki Suwa, Katsutoshi Ishii, Akira Shimizu, Kota Umezawa, Rihito Kuroda, Shigetoshi Sugawa
ECS Journal of Solid State Science and Technology 8 (11) P715-P718 2019年
DOI: 10.1149/2.0131911jss
ISSN:2162-8769
eISSN:2162-8777
-
Power efficienty Figure-of-Merit for image sensors 査読有り
Yuichiro Yamashita, Shigetoshi Sugawa
IEEE Transactions on Electtron Devices 66 (1) 512-517 2019年1月
-
Over 100 million frames per second high speed global shutter CMOS image sensor 査読有り
R. Kuroda, M. Suzuki, S. Sugawa
Proceedings of SPIE 11051 110510B-1-110510B-6 2019年
DOI: 10.1117/12.2524492
ISSN:0277-786X
eISSN:1996-756X
-
A CMOS Proximity Capacitance Image Sensor with 16μm Pixel Pitch, 0.1aF Detection Accuracy and 60 Frames Per Second 査読有り
M. Yamamoto, R. Kuroda, M. Suzuki, T. Goto, H. Hamori, S. Murakami, T. Yasuda, S. Sugawa
IEEE International Electron Devices Meeting 2018-December 660-663 2018年12月
DOI: 10.1109/IEDM.2018.8614636
ISSN:0163-1918
-
A 24.3Me- Full Well Capacity CMOS Image Sensor with Lateral Overflow Integration Trench Capacitor for High Precision Near Infrared Absorption Imaging 査読有り
M. Murata, R. Kuroda, Y. Fujihara, Y. Aoyagi, H. Shibata, T. Shibaguchi, Y. Kamata, N. Miura, N. Kuriyama, S. Sugawa
IEEE International Electron Devices Meeting 2018-December 225-228 2018年12月
DOI: 10.1109/IEDM.2018.8614590
ISSN:0163-1918
-
Statistical Analysis of Threshold Voltage Variation Using MOSFETs With Asymmetric Source and Drain 査読有り
Shinya Ichino, Akinobu Teramoto, Rihito Kuroda, Takezo Mawaki, Tomoyuki Suwa, Shigetoshi Sugawa
IEEE Electron Device Letters 39 (12) 1836-1839 2018年12月
ISSN:0741-3106
-
High speed and narrow-bandpass liquid crystal filter for real-time multi spectral imaging systems 査読有り
Kohei Terashima, Kazuhiro Wako, Yasuyuki Fujihara, Yusuke Aoyagi, Maasa Murata, Yosei Shibata, Shigetoshi Sugawa, Takahiro Ishinabe, Rihito Kuroda, Hideo Fujikake
IEICE Transactions on Electronics E101C (11) 897-900 2018年11月
DOI: 10.1587/transele.E101.C.897
ISSN:0916-8524
eISSN:1745-1353
-
RTS noise characterization and suppression for advanced CMOS image sensors 査読有り
Rihito Kuroda, Shinya Ichino, Takezo Mawaki, Tomoyuki Suwa, Akinobu Teramoto, Shigetoshi Sugawa
International Workshop on Image Sensors and Imaging Systems 12-13 2018年11月
-
Silicon Nitride Film Formations Using Magnetic-Mirror Confined New Plasma Source 査読有り
Tetsuya Goto, S. K. Kobayashi, Shigetoshi Sugawa
AVS 65th International Symposium PS-ThM 2018年11月
-
Corrosion Resistance to F and Cl plasma of Yttrium Oxyfluoride (YOF) formed by Sintering 査読有り
Akinobu Teramoto, Yoshinobu Shiba, Tetsuya Goto, Kishi Yukio, Shigetoshi Sugawa
American Vacuum Society 65th International Symposium & Exhibition 16 2018年11月
-
Over 100Mfps high speed global shutter CMOS image sensor 査読有り
Rihito Kuroda, Manabu Suzuki, Shigetoshi Sugawa
32nd International Congress on High-Speed Imaging and Photonics 27 2018年11月
-
Impact of CoFeB Surface Roughness on Reliability of MgO Films in CoFeB/MgO/CoFeB Magnetic Tunnel Junction 査読有り
Hyeonwoo Park, Akinobu Teramoto, Jun-ichi Tsuchimoto, Marie Hayashi, Keiichi Hashimoto, Shigetoshi Sugawa
14th International Conference on Atomically Controlled Surfaces, Interfaces and Nanostructures in conjunction with 26th International Colloquium on Scanning Probe Microscopy 20 2018年10月
-
Effects of Process Gases and Gate TiN Electrode during the Post Deposition Anneal to ALD-Al2O3 Dielectric Film 査読有り
AVS 65th International Symposium and Exhibition TF+EM+MI-WeM-5 2018年10月
-
High Speed Global Shutter CMOS Image Sensors Toward Over 100Mfps 査読有り
Rihito Kuroda, Manabu Suzuki, Shigetoshi Sugawa
Ultrafast imaging and particle tracking instrumentation and methods 2018 2018年10月
-
Corrosion resistance of sintered SiC against fluorinated plasmas 査読有り
Tetsuya Goto, Michito Miyahara, Masaru Sasaki, Shigetoshi Sugawa
Journal of Vacuum Science & Technology A 36 (6) 061404-1-091404-6 2018年10月
-
Impacts of Boron Concentration and Annealing Temperature on Electrical Characteristics of CoFeB/MgO/CoFeB Magnetic Tunnel Junction 査読有り
Hyeonwoo Park, Akinobu Teramoto, Jun-ichi Tsuchimoto, Marie Hayashi, Keiichi Hashimoto, Rihito Kuroda, Shigetoshi Sugawa
International Conference on Solid State Devices and Materials 905-906 2018年9月
-
Improved Conductance Method for Interface Trap Density of ZrO2-Si interface 査読有り
Hsin Jyun Lin, Akinobu Teramoto, Hiroshi Watanabe, Rihito Kurota, Kota Umezawa, Kiichi Furukawa, Shigetoshi Sugawa
International Conference on Solid State Devices and Materials 865-866 2018年9月
-
Dual Pixel Reset Voltage CMOS Image Sensor For High SNR Ultraviolet Light Absorption Spectral Imaging 査読有り
Yusuke Aoyagi, Yasuyuki Fujihara, Maasa Murata, Hiroya Shike, Rihito Kuroda, Shigetoshi Sugawa
International Conference on Solid State Devices and Materials 595-596 2018年9月
-
A 125Mfps Global Shutter CMOS Image Sensor with Burst Correlated Double Sampling during Photo-Electrons Collection 査読有り
Manabu Suzuki, Rihito Kuroda, Shigetoshi Sugawa
International Conference on Solid State Devices and Materials 593-594 2018年9月
-
Impact of atomically flat SiO2/Si interface on improvement of MOS device performance 査読有り
Akinobu Teramoto, Tomoyuki Suwa, Rihito Kuroda, Shigetoshi Sugawa
European Advanced Materials Congress 204 2018年8月
-
LTPS Thin-Film Transistors Fabricated Using New Selective Laser Annealing System 査読有り
Tetsuya Goto, Kaori Saito, Fuminobu Imaizumi, Makoto Hatanaka, Masami Takimoto, Michinobu Mizumura, Jun Gotoh, Hiroshi Ikenoue, Shigetoshi Sugawa
IEEE Transactions on Electron Devices 6 (8) 3250-3256 2018年8月
ISSN:0018-9383
eISSN:1557-9646
-
Statistical Analyses of Random Telegraph Noise in Pixel Source Follower with Various Gate Shapes in CMOS Image Sensor 査読有り
Ichino S, Mawaki T, Teramoto A, Kuroda R, Wakashima S, Suwa T, Sugawa S
ITE Transactions on Media Technology and Applications 6 (3) 163-170 2018年7月
DOI: 10.3169/mta.6.163
ISSN:2186-7364
eISSN:2186-7364
-
Properties of Poly-Si Thin Films and Their Transistors Fabricated Using Selective Excimer Laser Annealing 査読有り
Tetsuya Goto, Kaori Saito, Fuminobu Imaizumi, Makoto Hatanaka, Masami Takimoto, Michinobu Mizumura, Jun Gotoh, Hiroshi Ikenoue, Kazuo Udagawa, Junji Kido, Shigetoshi Sugawa
INTERNATIONAL WORKSHOP ON ACTIVE-MATRIX FLATPANEL DISPLAYS AND DEVICES P-22 2018年7月
-
Intercolor-Filter Crosstalk Model for Image Sensors with Color Filter Array 査読有り
Yuichiro Yamashita, Shigetoshi Sugawa
IEEE Transactions on Electron Devices 65 (6) 2531-2536 2018年6月1日
出版者・発行元: Institute of Electrical and Electronics Engineers Inc.ISSN:0018-9383
-
A Multi Spectral Imaging System with a 71dB SNR 190-1100 nm CMOS Image Sensor and an Electrically Tunable Multi Bandpass Filter 査読有り
Yasuyuki Fujihara, Yusuke Aoyagi, Maasa Murata, Satoshi Nasuno, Shunichi Wakashima, Rihito Kuroda, Kohei Terashima, Takahiro Ishinabe, Hideo Fujikake, Kazuhiro Wako, Shigetoshi Sugawa
ITE Transactions on Media Technology and Applications 6 (3) 187-194 2018年6月
DOI: 10.3169/mta.6.187
eISSN:2186-7364
-
Impacts of Random Telegraph Noise with Various Time Constants and Number of States in Temporal Noise of CMOS Image Sensors 査読有り
Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa
ITE Transactions on Media Technology and Applications 6 (3) 171-179 2018年6月
DOI: 10.3169/mta.6.171
eISSN:2186-7364
-
Design and Application of Inovative Multi-Table and Bond Head Drive System on Thermal Compression Bonber with UPH over 2000 査読有り
Kohei Seyama, Shoji Wada, Yuji Eguchi, Tomponori Nakamura, Doug Day, Shigetoshi Sugawa
IEEE 68th Electronic Components and Technology Conference 392-400 2018年5月
-
A High Sensitivity and Compact Real Time Gas Concentration Sensor for Semiconductor and Electronic Device Manufacturing Process 査読有り
Hidekazu Ishii, Masaaki Nagase, Nobukazu Ikeda, Yoshinobu Shiba, Yasuyuki Shirai, Rihito Kuroda, Shigetoshi Sugawa
233rd ECS Meeting Abstracts MA2018-01 (13) 2416-2416 2018年4月
ISSN:1938-5862
eISSN:1938-6737
-
Effect of drain current on appearance probability and amplitude of random telegraph noise in low-noise CMOS image sensors 査読有り
Shinya Ichino, Takezo Mawaki, Akinobu Teramoto, Rihito Kuroda, Hyeonwoo Park, Shunichi Wakashima, Tetsuya Goto, Tomoyuki Suwa, Shigetoshi Sugawa
Japanese Journal of Applied Physics 57 (4) 04FF08-1-04FF08-1 2018年4月1日
出版者・発行元: Japan Society of Applied PhysicsISSN:1347-4065 0021-4922
eISSN:1347-4065
-
Experimental investigation of localized stress-induced leakage current distribution in gate dielectrics using array test circuit 査読有り
Hyeonwoo Park, Akinobu Teramoto, Rihito Kuroda, Tomoyuki Suwa, Shigetoshi Sugawa
Japanese Journal of Applied Physics 57 (4) 04FE11-1-04FE11-5 2018年4月1日
出版者・発行元: Japan Society of Applied PhysicsISSN:1347-4065 0021-4922
eISSN:1347-4065
-
Reliability of MgO in Magnetic Tunnel Junctions Formed by MgO Sputtering and Mg Oxidation 査読有り
A. Teramoto, K. Hashimoto, T. Suwa, J. Tsuchimoto, M. Hayashi, H. Park, S. Sugawa
International Reliability Physics Symposium GD4-1-GD4-6 2018年3月
ISSN:1541-7026
-
A Preliminary Chip Evaluation toward Over 50Mfps Burst Global Shutter Stacked CMOS Image Sensor 査読有り
Manabu Suzuki, Masashi Suzuki, Rihito Kuroda, Shigetoshi Sugawa
Electronic Imaging IMSE-398-3984 2018年1月
DOI: 10.2352/ISSN.2470-1173.2018.11.IMSE-398
eISSN:2470-1173
-
High-speed multi-bandpass liquid-crystal filter using dual-frequency liquid crystal for real-time spectral imaging system 査読有り
Takahiro Ishinabe, Kohei Terashima, Kazuhiro Wako, Yasuyuki Fujihara, Yusuke Aoyagi, Maasa Murata, Satoshi Nasuno, Shunichi Wakashima, Rihito Kuroda, Yosei Shibata, Shigetoshi Sugawa, Hideo Fujikake
SPIE PHOTONICS WEST 10555-29 2018年1月
-
Narrow-Bandpass Liquid Crystal Filter for Real-Time Multi Spectral Imaging Systems 査読有り
Kohei Terashima, Takahiro Ishinabe, Kazuo Wako, Yasuyuki Fujihara, Yusuke Aoyagi, Maasa Murata, Satoshi Nasuno, Shunichi Wakashima, Rihito Kuroda, Yosei Shibata, Shigetoshi Sugawa, Hideo Fujikake
International Display Workshops 1 259-261 2017年12月
ISSN:1883-2490
-
New Compact Electron Cyclotron Resonance Plasma Source for Silicon Nitride Film Formation in Minimal Fab System 査読有り
Tetsuya Goto, Kei-Ichiro Sato, Yuki Yabuta, Shigetoshi Sugawa, Shiro Hara
IEEE Journal of the Electron Devices Society 6 512-517 2017年11月
出版者・発行元: Institute of Electrical and Electronics Engineers Inc.DOI: 10.1109/JEDS.2017.2773519
ISSN:2168-6734
-
Experimental Investigation of Localized Stress Induced Leakage Current Distribution in Gate Dielectrics Using Array Test Circuit 査読有り
Hyeonwoo Park, Tomoyuki Suwa, Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa
Extended Abstracts of the 2017 International Conference on Solid State Devices and Materials 785-786 2017年9月
-
Impact of Drain Current to Appearance Probability and Amplitude of Random Telegraph Noise in Low Noise CMOS Image Sensors 査読有り
Shinya Ichino, Takezo Mawaki, Akinobu Teramoto, Rihito Kuroda, Hyeonwoo Park, Takeru Maeda, Shunichi Wakashima, Tetsuya Goto, Tomoyuki Suwa, Shigetoshi Sugawa
International Conference on Solid State Devices and Materials 331-332 2017年9月
-
Analysis of Random Telegraph Noise Behaviors of nMOS and pMOS toward Back Bias Voltage Changing 査読有り
Takezo Mawaki, Akinobu Teramoto, Rihito Kuroda, Shinya Ichino, Shigetoshi Sugawa
International Conference on Solid State Devices and Materials 333-334 2017年9月
-
Hole-Trapping Process at Al2O3/GaN Interface Formed by Atomic Layer Deposition 査読有り
Akinobu Teramoto, Masaya Saito, Tomoyuki Suwa, Tetsuo Narita, Rihito Kuroda, Shigetoshi Sugawa
IEEE ELECTRON DEVICE LETTERS 38 (9) 1309-1312 2017年9月
ISSN:0741-3106
eISSN:1558-0563
-
224-ke Saturation signal global shutter CMOS image sensor with in-pixel pinned storage and lateral overflow integration capacitor 査読有り
Yorito Sakano, Shin Sakai, Yoshiaki Tashiro, Yuri Kato, Kentaro Akiyama, Katsumi Honda, Mamoru Sato, Masaki Sakakibara, Tadayuki Taura, Kenji Azami, Tomoyuki Hirano, Yusuke Oike, Yasunori Sogo, Takayuki Ezaki, Tadakuni Narabu, Teruo Hirayama, Shigetoshi Sugawa
IEEE Symposium on VLSI Circuits, Digest of Technical Papers C250-C251 2017年8月10日
出版者・発行元: Institute of Electrical and Electronics Engineers Inc.DOI: 10.23919/VLSIC.2017.8008498
-
Improvement in Electrical Characteristics of ALD Al2O3 Film by Microwave Excited Ar/O2 Plasma Treatment 査読有り
Masaya Saito, Tomoyuki Suwa, Akinobu Teramoto, Yasumasa Koda, Rihito Kuroda, Yoshinobu Shiba, Shigetoshi Sugawa, Junichi Tsuchimoto, Marie Hayashi
232nd ECS Meeting Abstracts MA2017-01 1249-1249 2017年6月
-
Atomically flat interface for noise reduction in SOI-MOSFETs 査読有り
P. Gaubert, R. Kuroda, T. Goto, A. Teramoto, S. Sugawa
International Conference on Noise and Fluctuations 8.4 2017年6月
ISSN:2575-5587
eISSN:2575-5595
-
10Mfps 960 Frames Video Capturing Using a UHS Global Shutter CMOS Image Sensor with High Density Analog Memories 査読有り
Manabu Suzuki, Masashi Suzuki, Rihito Kuroda, Yuki Kumagai, Akira Chiba, Noriyuki Miura, Naoya Kuriyama, Shigetoshi Sugawa
International Image Sensor Workshop 308-311 2017年6月
-
A Spectral Imaging System with an Over 70dB SNR CMOS Image Sensor and Electrically Tunable 10nm FWHM Multi-Bandpass Filter 査読有り
Yasuyuki Fujihara, Yusuke Aoyagi, Satoshi Nasuno, Shunichi Wakashima, Rihito Kuroda, Kohei Terashima, Takahiro Ishinabe, Hideo Fujikake, Kazuhiro Wako, Shigetoshi Sugawa
International Image Sensor Workshop 47-50 2017年6月
-
Impact of Random Telegraph Noise with Various Time Constants and Number of States in CMOS Image Sensors 査読有り
Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa
International Image Sensor Workshop 43-46 2017年6月
-
Statistical Analysis of Random Telegraph Noise in Source Follower Transistors with Various Shapes 査読有り
Shinya Ichino, Takezo Mawaki, Shunichi Wakashima, Akinobu Teramoto, Rihito Kuroda, Phillipe Gaubert, Tetsuya Goto, Tomoyuki Suwa, Shigetoshi Sugawa
International Image Sensor Workshop 39-42 2017年6月
-
Formation technology of flat surface with epitaxial growth on ion-implanted (100)-oriented Si surface of thin silicon-on-insulator 査読有り
Kiichi Furukawa, Akinobu Teramoto, Rihito Kuroda, Tomoyuki Suwa, Keiichi Hashimoto, Shigetoshi Sugawa, Daisuke Suzuki, Yuichiro Chiba, Katsutoshi Ishii, Akira Shimizu, Kazuhide Hasebe
Japanese Journal of Applied Physics 56 105503-1-105503-8 2017年4月
-
Performances of accumulation-mode n- and p-MOSFETs on Si(110) wafers 査読有り
Philippe Gaubert, Akinobu Teramoto, Shigetoshi Sugawa
Japanese Journal of Applied Physics 56 (4) 04CD15-1-04CD15-7 2017年4月1日
出版者・発行元: Japan Society of Applied PhysicsISSN:1347-4065 0021-4922
-
Improvement in the Negative Bias Illumination Stress Stability for Silicon-Ion Implanted Amorphous InGaZnO Thin-Film Transistors 査読有り
Tetsuya Goto, Fuminobu Imaizumi, Shigetoshi Sugawa
IEEE ELECTRON DEVICE LETTERS 38 (3) 345-348 2017年3月
ISSN:0741-3106
eISSN:1558-0563
-
An over 1Mfps global shutter CMOS image sensor with 480 frame storage using vertical analog memory integration 査読有り
M. Suzuki, M. Suzuki, R. Kuroda, Y. Kumagai, A. Chiba, N. Miura, N. Kuriyama, S. Sugawa
Technical Digest - International Electron Devices Meeting, IEDM 8.5.1-8.5.4 2017年1月31日
出版者・発行元: Institute of Electrical and Electronics Engineers Inc.DOI: 10.1109/IEDM.2016.7838376
ISSN:0163-1918
-
Chemical stability improvement in IGZO using selective laser annealing system 査読有り
Tetsuya Goto, Kaori Saito, Fuminobu Imaizumi, Michinobu Mizumura, Akira Suwa, Hiroshi Ikenoue, Shigetoshi Sugawa
Digest of Technical Papers - SID International Symposium 48 (1) 604-607 2017年
出版者・発行元: Blackwell Publishing LtdDOI: 10.1002/sdtp.11711
ISSN:2168-0159 0097-966X
eISSN:2168-0159
-
High Sensitivity and High Readout Speed Electron Beam Detector using Steep pn Junction Si diode for Low Acceleration Voltage 査読有り
Koda Y, Kuroda R, Hara M, Tsunoda H, Sugawa S
IS&T International Symposium on Electronic Imaging 2017, Image Sensors and Imaging Systems 2017 11 14-17 2017年1月
DOI: 10.2352/ISSN.2470-1173.2017.11.IMSE-178
eISSN:2470-1173
-
A high sensitivity 20Mfps CMOS image sensor with readout speed of 1Tpixel/sec for visualization of ultra-high speed phenomena 査読有り
R. Kuroda, S. Sugawa
SELECTED PAPERS FROM THE 31ST INTERNATIONAL CONGRESS ON HIGH-SPEED IMAGING AND PHOTONICS 10328 1032802-1-1032802-6 2017年
DOI: 10.1117/12.2270787
ISSN:0277-786X
-
Stable yttrium oxyfluoride used in plasma process chamber 査読有り
Yoshinobu Shiba, Akinobu Teramoto, Tetsuya Goto, Yukio Kishi, Yasuyuki Shirai, Shigetoshi Sugawa
Journal of Vacuum Science & Technology A 35 (2) 021405-1-021405-6 2017年1月
DOI: 10.1116/1.4975143
-
New Compact ECR Plasma Source for Silicon Nitride Film Formation in Minimal Fab System 査読有り
Tetsuya Goto, Kei-ichiro Sato, Yuki Yabuta, Shigetoshi Sugawa, Shiro Hara
2017 IEEE ELECTRON DEVICES TECHNOLOGY AND MANUFACTURING CONFERENCE (EDTM) 5M-4 84-85 2017年
DOI: 10.1109/EDTM.2017.7947528
-
Impact of SiO2/Si Interface Micro-roughness on SILC Distribution and Dielectric Breakdown: A Comparative Study with Atomically Flattened Devices 査読有り
Hyeonwoo Park, Tetsuya Goto, Rihito Kuroda, Akinobu Teramoto, Tomoyuki Suwa, Daiki Kimoto, Shigetoshi Sugawa
2017 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM (IRPS) DG-7.1-DG-7.5 2017年
DOI: 10.1109/IRPS.2017.7936364
ISSN:1541-7026
-
Mirror-field confined compact plasma source using permanent magnet for plasma processings 査読有り
Tetsuya Goto, Kei-ichiro Sato, Yuki Yabuta, Shigetoshi Sugawa
REVIEW OF SCIENTIFIC INSTRUMENTS 87 (12) 123508-1-123508-5 2016年12月
DOI: 10.1063/1.4972294
ISSN:0034-6748
eISSN:1089-7623
-
Electrical Characteristics of Si-Doped IGZO TFTs Fabricated Using Ion Implantation 査読有り
Tetsuya Goto, Fuminobu Imaizumi, Shigetoshi Sugawa
The 23rd International Display Workshop 1 252-255 2016年12月
-
A High Sensitivity 20Mfps CMOS Image Sensor with Readout speed of 1Tpixel/sec for Visualization of Ultra-high Speed Phenomena 招待有り 査読有り
Rihito Kuroda, Shigetoshi Sugawa
Proceedings of the 31st International Congress on High-speed Imaging and Photonics 68-73 2016年11月9日
-
A Dead-time free global shutter stacked CMOS image sensor with in-pixel LOFIC and ADC using pixel-wise connections 査読有り
Rihito Kuroda, Hidetake Sugo, Shunichi Wakashima, Shigetoshi Sugawa
3rd International Workshop on Image Sensors and Imaging Systems 13-14 2016年11月
-
Oxidizing Species Dependence of the Interface Reaction during Atomic-Layer-Deposition Process and Post-Deposition-Anneal 査読有り
Tomoyuki Suwa, Akinobu Teramoto, Yasumasa Koda, Masaya Saito, Hisaya Sugita, Marie Hayashi, Junichi Tsuchimoto, Hidekazu Ishii, Yoshinobu Shiba, Yasuyuki Shirai, Shigetoshi Sugawa
PACIFIC RIM MEETING ON ELECTROCHEMICAL AND SOLID-STATE SCIENCE (PRiME2016) /230th ECS Meeting MA2016-02 (27) 1836-1836 2016年10月
出版者・発行元: The Electrochemical SocietyDOI: 10.1149/MA2016-02/27/1836
eISSN:2151-2043
-
Formation Technology of Flat Surface after Selective Epitaxial Growth on Ion-Implanted (100) Oriented Thin SOI Wafers 査読有り
Kiichi Furukawa, Akinobu Teramoto, Rihito Kuroda, Tomoyuki Suwa, Keiichi Hashimoto, Shigetoshi Sugawa, Daisuke Suzuki, Yoichiro Chiba, Katsutoshi Ishii, Akira Shimizu, Kazuhide Hasebe
Extended Abstracts of the 2016 International Conference on Solid State Devices and Materials 116 (270) 649-650 2016年9月28日
出版者・発行元: 電子情報通信学会ISSN:0913-5685
-
Low Frequency Noise of Accumulation-Mode n- and p-MOSFETs fabricated on (110) Crystallographic Silicon-Oriented Wafers 査読有り
Philippe Gaubert, Akinobu Teramoto, Shigetoshi Sugawa
Extended Abstracts of the 2016 International Conference on Solid State Devices and Materials 717-718 2016年9月28日
-
On-Chip Optical Filter Technology with Low Extinction Coefficient SiN for Ultraviolet-Visible-Near Infrared Light Waveband Spectral Imaging 査読有り
Yasumasa Koda, Yhang Ricardo, Sipauba Carvalho, da Silva, Loïc Julien, Daisuke Sawada, Tetsuya Goto, Rihito Kuroda, Shigetoshi Sugawa
2016 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 418-422 2016年7月6日
-
Introduction of a High Selectivity Etching Process with Advanced SiNx Etch Gas in the Fabrication of FinFET Structures 査読有り
Takashi Kojiri, Tomoyuki Suwa, Keiichi Hashimoto, Akinobu Teramoto, Rihito Kuroda, Shigetoshi Sugawa
229th Meeting of The Electrochemical Society 1166 2016年5月
DOI: 10.1149/MA2016-01/23/1166
-
Low Leakage Current Al2O3 Metal-Insulator-Metal Capacitors Formed By Atomic Layer Deposition at Optimized Process Temperature and O2 Post Deposition Annealing 査読有り
Yasumasa Koda, Hisaya Sugita, Tomoyuki Suwa, Rihito Kuroda, Tetsuya Goto, Akinobu Teramoto, Shigetoshi Sugawa
229th Meeting of The Electrochemical Society MA2016-01 (23) 1174-1174 2016年5月
出版者・発行元: The Electrochemical SocietyDOI: 10.1149/MA2016-01/23/1174
eISSN:2151-2043
-
Advanced CMOS Image Sensors Development for High Sensitivity, High Speed and Wide Spectral Response 招待有り
Rihito Kuroda, Shigetoshi Sugawa
International Workshop on Radiation Resistant Sensors and Related Technologies for Nuclear Power Plant Decommissioning 44-44 2016年4月20日
-
Impact of doping concentration on 1/f noise performances of accumulation-mode Si(100) n-MOSFETs 査読有り
Philippe Gaubert, Akinobu Teramoto, Shigetoshi Sugawa
JAPANESE JOURNAL OF APPLIED PHYSICS 55 (4) 04ED08-1-04ED08-6 2016年4月
ISSN:0021-4922
eISSN:1347-4065
-
Analysis and reduction of leakage current of 2 kV monolithic isolator with wide trench spiral isolation structure 査読有り
Yusuke Takeuchi, Rihito Kuroda, Shigetoshi Sugawa
JAPANESE JOURNAL OF APPLIED PHYSICS 55 (4) 04EF07-1-04EF07-5 2016年4月
ISSN:0021-4922
eISSN:1347-4065
-
Proposal of tunneling- and diffusion-current hybrid MOSFET: A device simulation study 査読有り
Kiichi Furukawa, Akinobu Teramoto, Rihito Kuroda, Tomoyuki Suwa, Keiichi Hashimoto, Takashi Kojiri, Shigetoshi Sugawa
JAPANESE JOURNAL OF APPLIED PHYSICS 55 (4) 04ED12-1-04ED12-7 2016年4月
ISSN:0021-4922
eISSN:1347-4065
-
Low Interface Trap Density and High Breakdown Electric Field SiN Films on GaN Formed by Plasma Pretreatment Using Microwave-Excited Plasma-Enhanced Chemical Vapor Deposition 査読有り
Tadashi Watanabe, Akinobu Teramoto, Yukihisa Nakao, Shigetoshi Sugawa, Tadahiro Ohmi
IEEE TRANSACTIONS ON ELECTRON DEVICES 63 (4) 1795-1801 2016年4月
ISSN:0018-9383
eISSN:1557-9646
-
A CMOS Image Sensor with 240 μV/e– Conversion Gain, 200 ke– Full Well Capacity, 190-1000 nm Spectral Response and High Robustness to UV light 査読有り
Satoshi Nasuno, Shunichi Wakashima, Fumiaki Kusuhara, Rihito Kuroda, Shigetoshi Sugawa
ITE Transactions on Media Technology and Applications 4 (2) 116-122 2016年4月1日
DOI: 10.3169/mta.4.116
eISSN:2186-7364
-
Wide dynamic range LOFIC CMOS image sensors: principle, achievements and extendibility 招待有り 査読有り
Rihito Kuroda, Shigetoshi Sugawa
International Forum on Detectors for Photon Science 9 2016年2月29日
-
Random Telegraph Noise Measurement and Analysis based on Arrayed Test Circuit toward High S/N CMOS Image Sensors 招待有り 査読有り
Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa
2016 INTERNATIONAL CONFERENCE ON MICROELECTRONIC TEST STRUCTURES (ICMTS) 46-51 2016年
DOI: 10.1109/ICMTS.2016.7476172
ISSN:1071-9032
-
Analysis and reduction technologies of floating diffusion capacitance in CMOS image sensor for photon-countable sensitivity 査読有り
Fumiaki Kusuhara, Shunichi Wakashima, Satoshi Nasuno, Rihito Kuroda, Shigetoshi Sugawa
ITE Transactions on Media Technology and Applications 4 (2) 91-98 2016年
出版者・発行元: Institute of Image Information and Television EngineersDOI: 10.3169/mta.4.91
ISSN:2186-7364
eISSN:2186-7364
-
Floating capacitor load readout operation for small, low power consumption and high S/N Ratio CMOS image sensors 査読有り
Shunichi Wakashima, Fumiaki Kusuhara, Rihito Kuroda, Shigetoshi Sugawa
ITE Transactions on Media Technology and Applications 4 (2) 99-108 2016年
出版者・発行元: Institute of Image Information and Television EngineersDOI: 10.3169/mta.4.99
ISSN:2186-7364
eISSN:2186-7364
-
A high quantum efficiency high readout speed 1024 pixel ultraviolet-visible-near infrared waveband photodiode array 査読有り
Rihito Kuroda, Takahiro Akutsu, Yasumasa Koda, Kenji Takubo, Hideki Tominaga, Ryuta Hirose, Tomohiro Karasawa, Shigetoshi Sugawa
ITE Transactions on Media Technology and Applications 4 (2) 109-115 2016年
出版者・発行元: Institute of Image Information and Television EngineersDOI: 10.3169/mta.4.109
ISSN:2186-7364
eISSN:2186-7364
-
A 20Mfps global shutter CMOS image sensor with improved light sensitivity and power consumption performances 査読有り
Rihito Kuroda, Yasuhisa Tochigi, Ken Miyauchi, Tohru Takeda, Hidetake Sugo, Fan Shao, Shigetoshi Sugawa
ITE Transactions on Media Technology and Applications 4 (2) 149-154 2016年
出版者・発行元: Institute of Image Information and Television EngineersDOI: 10.3169/mta.4.149
ISSN:2186-7364
eISSN:2186-7364
-
Introduction of a High Selectivity Etching Process with Advanced SiNx Etch Gas in the Fabrication of FinFET Structures 査読有り
T. Kojiri, T. Suwa, K. Hashimoto, A. Teramoto, R. Kuroda, S. Sugawa
SILICON COMPATIBLE MATERIALS, PROCESSES, AND TECHNOLOGIES FOR ADVANCED INTEGRATED CIRCUITS AND EMERGING APPLICATIONS 6 72 (4) 23-30 2016年
ISSN:1938-5862
-
Low Leakage Current Al2O3 Metal-Insulator-Metal Capacitors Formed by Atomic Layer Deposition at Optimized Process Temperature and O-2 Post Deposition Annealing 査読有り
Y. Koda, H. Sugita, T. Suwa, R. Kuroda, T. Goto, A. Teramoto, S. Sugawa
SILICON COMPATIBLE MATERIALS, PROCESSES, AND TECHNOLOGIES FOR ADVANCED INTEGRATED CIRCUITS AND EMERGING APPLICATIONS 6 72 (4) 91-100 2016年
ISSN:1938-5862
-
A Dead-time Free Global Shutter CMOS Image Sensor with in-pixel LOFIC and ADC using Pixel-wise Connections 査読有り
Hidetake Sugo, Shunichi Wakashima, Rihito Kuroda, Yuichiro Yamashita, Hirofumi Sumi, Tzu-Jui Wang, Po-Sheng Chou, Ming-Chieh Hsu, Shigetoshi Sugawa
2016 IEEE SYMPOSIUM ON VLSI CIRCUITS (VLSI-CIRCUITS) 224-225 2016年
DOI: 10.1109/VLSIC.2016.7573544
-
Evaluating Work-Function and Composition of ErSix on Various Surface Orientation of Silicon 査読有り
Akinobu Teramoto, Hiroaki Tanaka, Tomoyuki Suwa, Tetsuya Goto, Rihito Kuroda, Tsukasa Motoya, Kazumasa Kawase, Shigetoshi Sugawa
ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY 5 (10) P608-P613 2016年
DOI: 10.1149/2.0221610jss
ISSN:2162-8769
eISSN:2162-8777
-
Oxidizing species dependence of the interface reaction during atomic-layer-deposition process and post-deposition-anneal 査読有り
T. Suwa, A. Teramoto, Y. Koda, M. Saito, H. Sugita, M. Hayashi, J. Tsuchimoto, H. Ishii, Y. Shiba, Y. Shirai, S. Sugawa
SEMICONDUCTORS, DIELECTRICS, AND METALS FOR NANOELECTRONICS 14 75 (5) 207-214 2016年
ISSN:1938-5862
-
A High Sensitivity Compact Gas Concentration Sensor using UV Light and Charge Amplifier Circuit 査読有り
Hidekazu Ishii, Masaaki Nagase, Nobukazu Ikeda, Yoshinobu Shiba, Yasuyuki Shirai, Rihito Kuroda, Shigetoshi Sugawa
2016 IEEE SENSORS 877-879 2016年
DOI: 10.1109/ICSENS.2016.7808698
ISSN:1930-0395
-
190-1100 nm Waveband Multispectral Imaging System using High Light Resistance Wide Dynamic Range CMOS Image Sensor 査読有り
Yasuvuki Fujihara, Satoshi Nasuno, Shunichi Wakashima, Yusuke Aoyagi, Rihito Kuroda, Shigetoshi Sugawa
2016 IEEE SENSORS 283-285 2016年
DOI: 10.1109/ICSENS.2016.7808492
ISSN:1930-0395
-
A Dead-time Free Global Shutter CMOS Image Sensor with in-pixel LOFIC and ADC using Pixel-wise Connections 招待有り 査読有り
Hidetake Sugo, Shunichi Wakashima, Rihito Kuroda, Yuichiro Yamashita, Hirofumi Sumi, Tzu-Jui Wang, Po-Sheng Chou, Ming-Chieh Hsu, Shigetoshi Sugawa
2016 IEEE SYMPOSIUM ON VLSI CIRCUITS (VLSI-CIRCUITS) 13-14 2016年
-
190-1100 nm Waveband Multispectral Imaging System using High Light Resistance Wide Dynamic Range CMOS Image Sensor 査読有り
Yasuvuki Fujihara, Satoshi Nasuno, Shunichi Wakashima, Yusuke Aoyagi, Rihito Kuroda, Shigetoshi Sugawa
2016 IEEE SENSORS 37-38 2016年
ISSN:1930-0395
-
An Over 1Mfps Global Shutter CMOS Image Sensor with 480 Frame Storage Using Vertical Analog Memory Integration 査読有り
M. Suzuki, M. Suzuki, R. Kuroda, Y. Kumagai, A. Chiba, N. Miura, N. Kuriyama, S. Sugawa
2016 IEEE INTERNATIONAL ELECTRON DEVICES MEETING (IEDM) 212-215 2016年
DOI: 10.1109/IEDM.2016.7838376
ISSN:2380-9248
-
Observation of sputtering of yttrium from Y2O3 ceramics by low-energy Ar, Kr, and Xe ion bombardment in microwave-excited plasma 査読有り
Tetsuya Goto, Shigetoshi Sugawa
JAPANESE JOURNAL OF APPLIED PHYSICS 54 (12) 128003-1-128003-3 2015年12月
ISSN:0021-4922
eISSN:1347-4065
-
Introduction of Atomically Flattening of Si Surface to Large-Scale Integration Process Employing Shallow Trench Isolation 査読有り
Tetsuya Goto, Rihito Kuroda, Naoya Akagawa, Tomoyuki Suwa, Akinobu Teramoto, Xiang Li, Toshiki Obara, Daiki Kimoto, Shigetoshi Sugawa, Yutaka Kamata, Yuki Kumagai, Katsuhiko Shibusawa
ECS Journal of Solid State Science and Technology 5 (2) 67-72 2015年11月
DOI: 10.1149/2.0221602jss
ISSN:2162-8769
eISSN:2162-8777
-
Effect of Oxygen Impurity on Nitrogen Radicals in Post-Discharge Flows 査読有り
Yoshinobu Shiba, Akinobu Teramoto, Tomoyuki Suwa, Kensuke Watanabe, Shinichi Nishimura, Yasuyuki Shirai, Shigetoshi Sugawa
228th Meeting of The Electrochemical Society MA2015-02 (47) 1848-1848 2015年10月
出版者・発行元: The Electrochemical SocietyDOI: 10.1149/MA2015-02/47/1848
eISSN:2151-2043
-
Analysis and reduction of leakage current of 2kV monolithic isolator with wide trench spiral isolation structure 査読有り
Yusuke Takeuchi, Rihito Kuroda, Shigetoshi Sugawa
Extended Abstracts of the 2015 International Conference on Solid State Devices and Materials 804-805 2015年9月30日
-
Proposal of Tunneling and Diffusion Current Hybrid MOSFET 査読有り
Kiichi Furukawa, Rihito Kuroda, Tomoyuki Suwa, Keiichi Hashimoto, Akinobu Teramoto, Shigetoshi Sugawa
Extended Abstracts of the 2015 International Conference on Solid State Devices and Materials 86-87 2015年9月29日
-
1/f Noise Performances and Noise Sources of Accumulation Mode Si(100) n-MOSFETs 査読有り
Philippe Gaubert, Akinobu Teramoto, Shigetoshi Sugawa
Extended Abstracts of the 2015 International Conference on Solid State Devices and Materials 96-97 2015年9月29日
-
Measurement and Analysis of Seismic Response in Semiconductor Manufacturing Equipment 査読有り
Kaori Komoda, Masashi Sakuma, Masakazu Yata, Yoshio Yamazaki, Fuminobu Imaizumi, Rihito Kuroda, Shigetoshi Sugawa
IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING 28 (3) 289-296 2015年8月
ISSN:0894-6507
eISSN:1558-2345
-
A 80% QE High Readout Speed 1024 Pixel Linear Photodiode Array for UV-VIS-NIR Spectroscopy 査読有り
Rihito Kuroda, Takahiro Akutsu, Yasumasa Koda, Kenji Takubo, Hideki Tominaga, Ryuuta Hirose, Tomohiro Karasawa, Shigetoshi Sugawa
Proceedings of the 2015 International Image Sensor Workshop 78-81 2015年6月
-
Analysis and Reduction of Floating Diffusion Capacitance Components of CMOS Image Sensor for Photon-Countable Sensitivity 査読有り
Fumiaki Kusuhara, Shunichi Wakashima, Satoshi Nasuno, Rihito Kuroda, Shigetoshi Sugawa
Proceedings of the 2015 International Image Sensor Workshop 120-123 2015年6月
-
A 20Mfps Global Shutter CMOS Image Sensor with Improved Sensitivity and Power Consumption 査読有り
Shigetoshi Sugawa, Rihito Kuroda, Tohru Takeda, Fan Shao, Ken Miyauchi, Yasuhisa Tochigi
Proceedings of the 2015 International Image Sensor Workshop 166-169 2015年6月
-
A CMOS Image Sensor with 240μV/e- Conversion Gain, 200ke- Full Well Capacity and 190-1000nm Spectral Response 査読有り
Satoshi Nasuno, Shunichi Wakashima, Fumiaki Kusuhara, Rihito Kuroda, Shigetoshi Sugawa
Proceedings of the 2015 International Image Sensor Workshop 39 (35) 312-315 2015年6月
出版者・発行元: 一般社団法人映像情報メディア学会ISSN:1342-6893
-
Low Temperature Atomically Flattening of Si Surface of Shallow Trench Isolation Pattern 査読有り
Tetsuya Goto, Rihito Kuroda, Tomoyuki Suwa, Akinobu Teramoto, Naoya Akagawa, Daiki Kimoto, Shigetoshi Sugawa, Tadahiro Ohmi, Yutaka Kamata, Yuki Kumagai, Katsuhiko Shibusawa
227th Meeting of The Electrochemical Society 1354 2015年5月
-
Effect of Hydrogen on Silicon Nitrides Formation by Microwave Excited Plasma Enhanced Chemical Vapor Deposition 査読有り
Akinobu Teramoto, Yukihisa Nakao, Tomoyuki Suwa, Keiichi Hashimoto, Tsukasa Motoya, Masaki Hirayama, Shigetoshi Sugawa, Tadahiro Ohmi
227th Meeting of The Electrochemical Society 1378 2015年5月
-
Surface Metal Cleaning of GaN Surface Based on Redox Potential of Cleaning Solution 査読有り
Kenji Nagao, Kenichi Nakamura, Akinobu Teramoto, Yasuyuki Shirai, Fuminobu Imaizumi, Tomoyuki Suwa, Shigetoshi Sugawa, Tadahiro Ohmi
227th Meeting of The Electrochemical Society 1404 2015年5月
-
Low Work Function LaB6 Thin Film Prepared by nitrogen Doped LaB6 Target Supptering 査読有り
H. Ishii, K. Takahashi, T. Goto, S. Sugawa, T. Ohmi
ECS Transactions 66 (41) 23-28 2015年5月
-
Crystallinity Improvement of Ferroelectric BiFeO3 Thin Film by Oxygen Radical Treatment 査読有り
Fuminobu Imaizumi, Tetsuya Goto, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
227th Meeting of The Electrochemical Society 1351 2015年5月
-
Ultra-Low Temperature Flattening Technique of Silicon Surface Using Xe/H2 Plasma 査読有り
Tomoyuki Suwa, Akinobu Teramoto, Tetsuya Goto, Masaki Hirayama, Shigetoshi Sugawa, Tadahiro Ohmi
227th Meeting of The Electrochemical Society 1353 2015年5月
-
Effect of Process Temperature of Al2O3 Atomic Layer Deposition Using Accurate Process Gasses Supply System 査読有り
Hisaya Sugita, Yasukasa Koda, Tomoyuki Suwa, Rihito Kuroda, Tetsuya Goto, Hidekazu Ishii, Satoru Yamashita, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
227th Meeting of The Electrochemical Society 1399 2015年5月
-
Low Work Function LaB6 thin Films Prepared By Nitrogen Doped LaB6 target Sputtering 査読有り
Hidekazu Ishii, Kentaro Takahashi, Tetsuya Goto, Shigetoshi Sugawa, Tadahiro Ohmi
227th Meeting of The Electrochemical Society 2288 2015年5月
-
Atomically flattening of Si surface of silicon on insulator and isolation-patterned wafers 査読有り
Tetsuya Goto, Rihito Kuroda, Naoya Akagawa, Tomoyuki Suwa, Akinobu Teramoto, Xiang Li, Toshiki Obara, Daiki Kimoto, Shigetoshi Sugawa, Tadahiro Ohmi, Yutaka Kamata, Yuki Kumagai, Katsuhiko Shibusawa
JAPANESE JOURNAL OF APPLIED PHYSICS 54 (4) 04DA04-1-04DA04-7 2015年4月
ISSN:0021-4922
eISSN:1347-4065
-
Analysis of breakdown voltage of area surrounded by multiple trench gaps in 4 kV monolithic isolator for communication network interface 査読有り
Yusuke Takeuchi, Rihito Kuroda, Shigetoshi Sugawa
JAPANESE JOURNAL OF APPLIED PHYSICS 54 (4) 04DB01-1-04DB01-5 2015年4月
ISSN:0021-4922
eISSN:1347-4065
-
Analysis of Pixel Gain and Linearity of CMOS Image Sensor using Floating Capacitor Load Readout Operation 査読有り
S. Wakashima, F. Kusuhara, R. Kuroda, S. Sugawa
IMAGE SENSORS AND IMAGING SYSTEMS 2015 9403 94030E-1-94030E-10 2015年
DOI: 10.1117/12.2083111
ISSN:0277-786X
-
UV/VIS/NIR imaging technologies: challenges and opportunities 招待有り 査読有り
Rihito Kuroda, Shigetoshi Sugawa
IMAGE SENSING TECHNOLOGIES: MATERIALS, DEVICES, SYSTEMS, AND APPLICATIONS II 9481 948108-1-948108-8 2015年
DOI: 10.1117/12.2180060
ISSN:0277-786X
eISSN:1996-756X
-
Crystallinity improvement of ferroelectric BiFeO<inf>3</inf> thin film by oxygen radical treatment 査読有り
F. Imaizumi, T. Goto, A. Teramoto, S. Sugawa, T. Ohmi
ECS Transactions 66 (5) 261-267 2015年
出版者・発行元: Electrochemical Society Inc.ISSN:1938-6737 1938-5862
eISSN:1938-5862
-
Ultra-low temperature flattening technique of silicon surface using Xe/H<inf>2</inf> plasma 査読有り
Tomoyuki Suwa, Akinobu Teramoto, Tetsuya Goto, Masaki Hirayama, Shigetoshi Sugawa, Tadahiro Ohmi
ECS Transactions 66 (5) 277-283 2015年
出版者・発行元: Electrochemical Society Inc.ISSN:1938-6737 1938-5862
-
Low temperature atomically flattening of Si surface of shallow trench isolation pattern 査読有り
T. Goto, R. Kuroda, T. Suwa, A. Teramoto, N. Akagawa, D. Kimoto, S. Sugawa, T. Ohmi, Y. Kamata, Y. Kumagai, K. Shibusawa
ECS Transactions 66 (5) 285-292 2015年
出版者・発行元: Electrochemical Society Inc.ISSN:1938-6737 1938-5862
eISSN:1938-6737
-
Effect of hydrogen on silicon nitrides formation by microwave excited plasma enhanced chemical vapor deposition 査読有り
A. Teramoto, Y. Nakao, T. Suwa, K. Hashimoto, T. Motoya, M. Hirayama, S. Sugawa, T. Ohmi
ECS Transactions 66 (4) 151-159 2015年
出版者・発行元: Electrochemical Society Inc.ISSN:1938-6737 1938-5862
-
Effect of Process Temperature of Al2O3 Atomic Layer Deposition Using Accurate Process Gasses Supply System 査読有り
H. Sugita, Y. Koda, T. Suwa, R. Kuroda, T. Goto, H. Ishii, S. Yamashita, A. Teramoto, S. Sugawa, T. Ohmi
ECS Transactions 66 (4) 305-314 2015年
出版者・発行元: Electrochemical Society Inc.ISSN:1938-6737 1938-5862
eISSN:1938-5862
-
Surface metal cleaning of GaN surface based on redox potential of cleaning solution 査読有り
K. Nagao, K. Nakamura, A. Teramoto, Y. Shirai, F. Imaizumi, T. Suwa, S. Sugawa, T. Ohmi
ECS Transactions 66 (7) 11-21 2015年
出版者・発行元: Electrochemical Society Inc.ISSN:1938-6737 1938-5862
-
A Linear Response Single Exposure CMOS Image Sensor with 0.5e(-) Readout Noise and 76ke(-) Full Well Capacity 査読有り
Shunichi Wakashima, Fumiaki Kusuhara, Rihito Kuroda, Shigetoshi Sugawa
2015 SYMPOSIUM ON VLSI CIRCUITS (VLSI CIRCUITS) C88-C89 2015年
DOI: 10.1109/VLSIC.2015.7231334
-
Drastic Suppression of the 1/f Noise in MOSFETs: Fundamental Fluctuations of Mobility Rather Than Induced Mobility Fluctuations 招待有り 査読有り
Philippe Gaubert, Akinobu Teramoto, Shigetoshi Sugawa
2015 INTERNATIONAL CONFERENCE ON NOISE AND FLUCTUATIONS (ICNF) 1-6 2015年
DOI: 10.1109/ICNF.2015.7288580
-
Effect of oxygen impurity on nitrogen radicals in post-discharge flows 査読有り
Y. Shiba, A. Teramoto, T. Suwa, K. Watanabe, S. Nishimura, Y. Shirai, S. Sugawa
ECS Transactions 69 (39) 1-9 2015年
出版者・発行元: Electrochemical Society Inc.ISSN:1938-6737 1938-5862
-
An Ultraviolet Radiation Sensor Using Differential Spectral Response of Silicon Photodiodes 査読有り
Yhang Ricardo Sipauba Carvalho da Silva, Yasumasa Koda, Satoshi Nasuno, Rihito Kuroda, Shigetoshi Sugawa
2015 IEEE SENSORS 1847-1850 2015年
DOI: 10.1109/ICSENS.2015.7370656
ISSN:1930-0395
-
Wide spectral response and highly robust Si image sensor technology 査読有り
Rihito Kuroda, Shigetoshi Sugawa
2nd Asian Image Sensor and Imaging System Symposium 38 7-8 2014年12月
出版者・発行元: 一般社団法人 映像情報メディア学会 -
Atomically Flattening of Si Surface of SOI and Isolation-patterned Wafers 査読有り
T. Goto, R. Kuroda, N. Akagawa, T. Suwa, A. Teramoto, X. Li, S. Sugawa, T. Ohmi, Y. Kumagai, Y. Kamata, T. Shibusawa
Extended Abstracts of the 2014 International Conference on Solid State Devices and Materials 670-671 2014年9月
-
Analysis of the breakdown voltage of an area surrounded by the multi-trench gaps in a 4kV monolithic isolator for a communication network interface 査読有り
Yusuke Takeuchi, Rihito Kuroda, Shigetoshi Sugawa
Extended Abstracts of the 2014 International Conference on Solid State Devices and Materials 732-733 2014年9月
-
Effect of Composition Ratio on Erbium Silicide Work Function on Different Morphology of Si(100) Surface Changed by Alkaline Etching 査読有り
Hiroaki Tanaka, Tomoyuki Suwa, Akinobu Teramoto, Tsukasa Motoya, Shigetoshi Sugawa, Tadahiro Ohmi
225th Meeting of The Electrochemical Society 1463 2014年5月
-
Flattening Technique of (551) Silicon Surface Using Xe/H2 Plasma 査読有り
Tomoyuki Suwa, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
225th Meeting of The Electrochemical Society 1394 2014年5月
-
High Selectivity in a Dry Etching of Silicon Nitride over Si Using a Novel Hydrofluorocarbon Etch Gas in a Microwave Excited Plasma for FinFET 査読有り
Yukihisa Nakao, Takatoshi Matsuo, Akinobu Teramoto, Hidetoshi Utsumi, Keiichi Hashimoto, Rihito Kuroda, Yasuyuki Shirai, Shigetoshi Sugawa, Tadahiro Ohmi
225th Meeting of The Electrochemical Society 1441 2014年5月
-
Carrier mobility characteristics of (100), (110), and (551) oriented atomically flattened Si surfaces for fin structure design of multi-gate metal-insulator-silicon field-effect transistors 査読有り
Rihito Kuroda, Yukihisa Nakao, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
JAPANESE JOURNAL OF APPLIED PHYSICS 53 (4) 04EC04-1-04EC04-7 2014年4月
ISSN:0021-4922
eISSN:1347-4065
-
A CMOS image sensor using column-parallel forward noise-canceling circuitry 査読有り
Tsung-Ling Li, Shunichi Wakashima, Yasuyuki Goda, Rihito Kuroda, Shigetoshi Sugawa
JAPANESE JOURNAL OF APPLIED PHYSICS 53 (4) 04EE14-1-04EE14-6 2014年4月
ISSN:0021-4922
eISSN:1347-4065
-
A wide dynamic range CMOS image sensor with 200–1100 nm spectral sensitivity and high robustness to UV right exposure 査読有り
Satoshi Nasuno, Shun Kawada, Yasumasa Koda, Taiki Nakazawa, Katsuhiko Hanzawa, Rihito Kuroda, Shigetoshi Sugawa
Japanese Journal of Applied Physics 53 (4) 04EE07-1-04EE07-4 2014年4月
ISSN:0021-4922
eISSN:1347-4065
-
Extraction of time constants ratio over nine orders of magnitude for understanding random telegraph noise in metal–oxide–semiconductor field-effect transistors 査読有り
Toshiki Obara, Akihiro Yonezawa, Akinobu Teramoto, Rihito Kuroda, Shigetoshi Sugawa, Tadahiro Ohmi
Japanese Journal of Applied Physics 53 (4) 04EC19-1-04EC19-7 2014年4月
ISSN:0021-4922
eISSN:1347-4065
-
Low-cost Xe sputtering of amorphous In-Ga-Zn-O thin-film transistors by rotation magnet sputtering incorporating a Xe recycle-and-supply system 査読有り
Tetsuya Goto, Hidekazu Ishii, Shigetoshi Sugawa, Tadahiro Ohmi
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A 32 (2) 02B105-1-02B105-7 2014年3月
DOI: 10.1116/1.4835775
ISSN:0734-2101
eISSN:1520-8559
-
High quantum efficiency 200-1000 nm spectral response photodiodes with on-chip multiple high transmittance optical layers
Yasumasa Koda, Rihito Kuroda, Shigetoshi Sugawa
Proceedings of IEEE Sensors 2014-December (December) 1664-1667 2014年1月1日
DOI: 10.1109/ICSENS.2014.6985340
ISSN:1930-0395
eISSN:2168-9229
-
Ultra-High Speed Video Capturing of Time Dependent Dielectric Breakdown of Metal-Oxide-Silicon Capacitor up to 10M frame per second 査読有り
F. Shao, D. Kimoto, K. Furukawa, H. Sugo, T. Takeda, K. Miyauchi, Y. Tochigi, R. Kuroda, S. Sugawa
IMAGE SENSORS AND IMAGING SYSTEMS 2014 9022 902205-1-902205-9 2014年
DOI: 10.1117/12.2040859
ISSN:0277-786X
-
Pixel Structure with 10 nsec Fully Charge Transfer Time for the 20M Frame Per Second Burst CMOS Image Sensor 査読有り
K. Miyauchi, T. Takeda, K. Hanzawa, Y. Tochigi, S. Sakai, R. Kuroda, H. Tominaga, R. Hirose, K. Takubo, Y. Kondo, S. Sugawa
IMAGE SENSORS AND IMAGING SYSTEMS 2014 9022 902203-1-902203-12 2014年
DOI: 10.1117/12.2042373
ISSN:0277-786X
-
A 1024×1 Linear Photodiode Array Sensor with Fast Readout Speed Flexible Pixel-level Integration Time and High Stability to UV Light Exposure 査読有り
Takahiro Akutsu, Shun Kawada, Yasumasa Koda, Taiki Nakazawa, Rihito Kuroda, Shigetoshi Sugawa
Proc. of SPIE-IS&T 9022 90220L-1-90220L-8 2014年
DOI: 10.1117/12.2040764
ISSN:0277-786X
eISSN:1996-756X
-
A Statistical evaluation of effective time constants of random telegraph noise with various operation timings of in-pixel source follower transistors 査読有り
A. Yonezawa, R. Kuroda, A. Teramoto, T. Obara, S. Sugawa
IMAGE SENSORS AND IMAGING SYSTEMS 2014 9022 90220F-1-90220F-9 2014年
DOI: 10.1117/12.2041090
ISSN:0277-786X
-
Amorphous InGaZnO Thin-Film Transistors Prepared by Magnetron Sputtering Using Kr and Xe Instead of Ar 査読有り
Tetsuya Goto, Shigetoshi Sugawa, Tadahiro Ohmi
Journal of the Society for Information Display 21 (12) 517-523 2014年
DOI: 10.1002/jsid.210
ISSN:1071-0922
eISSN:1938-3657
-
A Highly Ultraviolet Light Sensitive and Highly Robust Image Sensor Technology Based on Flattened Si Surface 査読有り
Rihito Kuroda, Shun Kawada, Satoshi Nasuno, Taiki Nakazawa, Yasumasa Koda, Katsuhiko Hanzawa, Shigetoshi Sugawa
ITE Transactions on Media Technology and Applications 2 (2) 123-130 2014年
出版者・発行元: Institute of Image Information and Television EngineersDOI: 10.3169/mta.2.123
ISSN:2186-7364
eISSN:2186-7364
-
High Selectivity in Dry Etching of Silicon Nitride over Si Using a Novel Hydrofluorocarbon Etch Gas in a Microwave Excited Plasma for FinFET 査読有り
Y. Nakao, T. Matsuo, A. Teramoto, H. Utsumi, K. Hashimoto, R. Kuroda, Y. Shirai, S. Sugawa, T. Ohmi
SILICON COMPATIBLE MATERIALS, PROCESSES, AND TECHNOLOGIES FOR ADVANCED INTEGRATED CIRCUITS AND EMERGING APPLICATIONS 4 61 (3) 29-37 2014年
ISSN:1938-5862
-
Flattening Technique of (551) Silicon Surface Using Xe/H-2 Plasma 査読有り
Tomoyuki Suwa, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
DIELECTRICS FOR NANOSYSTEMS 6: MATERIALS SCIENCE, PROCESSING, RELIABILITY, AND MANUFACTURING 61 (2) 401-407 2014年
ISSN:1938-5862
-
Effect of Composition Ratio on Erbium Silicide Work Function on Different Morphology of Si(100) Surface Changed by Alkaline Etching 査読有り
Hiroaki Tanaka, Tomoyuki Suwa, Akinobu Teramoto, Tsukasa Motoya, Shigetoshi Sugawa, Tadahiro Ohmi
SILICON COMPATIBLE MATERIALS, PROCESSES, AND TECHNOLOGIES FOR ADVANCED INTEGRATED CIRCUITS AND EMERGING APPLICATIONS 4 61 (3) 47-53 2014年
ISSN:1938-5862
-
Si image sensors with wide spectral response and high robustness to ultraviolet light exposure 招待有り 査読有り
Rihito Kuroda, Shigetoshi Sugawa
IEICE ELECTRONICS EXPRESS 11 (10) 1-16 2014年
ISSN:1349-2543
-
Application of rotation magnet sputtering technology to a-IGZO film depositions 招待有り 査読有り
Tetsuya Goto, Shigetoshi Sugawa, Tadahiro Ohmi
Digest of Technical Papers - SID International Symposium 45 (1) 5-8 2014年
出版者・発行元: Blackwell Publishing LtdDOI: 10.1002/j.2168-0159.2014.tb00002.x
ISSN:2168-0159 0097-966X
-
Demonstrating Individual Leakage Path from Random Telegraph Signal of Stress Induced Leakage Current 査読有り
A. Teramoto, T. Inatsuka, T. Obara, N. Akagawa, R. Kuroda, S. Sugawa, T. Ohmi
2014 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM GD.1.1-GD.1.5 2014年
DOI: 10.1109/IRPS.2014.6861144
ISSN:1541-7026
-
Analyzing Correlation between Multiple Traps in RTN Characteristics 査読有り
Toshiki Obara, Akinobu Teramoto, Akihiro Yonezawa, Rihito Kuroda, Shigetoshi Sugawa, Tadahiro Ohmi
2014 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM 4A.6.1-4A.6.7 2014年
DOI: 10.1109/IRPS.2014.6860644
ISSN:1541-7026
-
A Novel Analysis of Oxide Breakdown based on Dynamic Observation using Ultra-High Speed Video Capturing Up to 10,000,000 Frames Per Second 査読有り
Rihito Kuroda, Fan Shao, Daiki Kimoto, Kiichi Furukawa, Hidetake Sugo, Tohru Takeda, Ken Miyauchi, Yasuhisa Tochigi, Akinobu Teramoto, Shigetoshi Sugawa
2014 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM 3F.3.1-3F.3.4 2014年
DOI: 10.1109/IRPS.2014.6860637
ISSN:1541-7026
-
High Performance Normally-Off GaN Mosfets On Si Substrates 査読有り
H. Kambayashi, N. Ikeda, T. Nomura, H. Ueda, Y. Morozumi, K. Harada, K. Hasebe, A. Teramoto, S. Sugawa, T. Ohmi
224th Meeting of The Electrochemical Society 1911 2013年10月
-
Diaphragm Durability Enhancement for Valves Supplying Gas for Atomic Layer Deposition 査読有り
M. Yamaji, T. Tanikawa, T. Yakushijin, T. Funakoshi, S. Yamashita, A. Hidaka, M. Nagase, N. Ikeda, S. Sugawa, T. Ohmi
224th Meeting of The Electrochemical Society 1862 2013年10月
-
Schottky barrier height between erbium silicide and various morphology of Si (100) surface changed by alkaline etching 査読有り
H. Tanaka, A. Teramoto, S. Sugawa, T. Ohmi
224th Meeting of The Electrochemical Society 2170 2013年10月
-
A Statistical Evaluation of Random Telegraph Noise of In-Pixel Source Follower Equivalent Surface and Buried Channel Transistors 査読有り
Rihito Kuroda, Akihiro Yonezawa, Akinobu Teramoto, Tsung-Ling Li, Yasuhisa Tochigi, Shigetoshi Sugawa
IEEE TRANSACTIONS ON ELECTRON DEVICES 60 (10) 3555-3561 2013年10月
ISSN:0018-9383
eISSN:1557-9646
-
Highly UV-light sensitive and highly robust CMOS image sensor with 97dB wide dynaamic range and 200-1100 nm spectral sensitivity
Satoshi Nasuno, Shun Kawada, Yasumasa Koda, Rihito Kuroda, Shigetoshi Sugawa
Asian Symposium on Advanced Image Sensors and Imaging Systems 15-16 2013年10月
-
Ultra-high Speed Image Sensors for Scientific Imaging 招待有り 査読有り
Rihito Kuroda, Yasuhisa Tochigi, Ken Miyauchi, Tohru Takeda, Ryuta Hirose, Hideki Tominaga, Kenji Takubo, Yasushi Kondo, Shigetoshi Sugawa
Extended Abstracts of the 2013 International Conference on Solid State Devices and Materials 872-873 2013年9月25日
-
Carrier Mobility on (100), (110), and (551) Oriented Atomically Flattened Si Surfaces for Multi-gate MOSFETs Device Design 査読有り
Rihito Kuroda, Yukihisa Nakao, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
Extended Abstracts of the 2013 International Conference on Solid State Devices and Materials 702-703 2013年9月25日
-
Extraction of Time Constants Ratio over Nine Orders of Magnitude for Understanding Random Telegraph Noise in MOSFETs 査読有り
T. Obara, A. Yonezawa, A. Teramoto, R. Kuroda, S. Sugawa, T. Ohmi
Extended Abstracts of the 2013 International Conference on Solid State Devices and Materials 722-723 2013年9月25日
-
Detection of oxidation-induced compressive stress in Si(100) substrate near the SiO2/Si interface with atomic-scale resolution 査読有り
T. Suwa, K. Nagata, H. Nohira, K. Nakajima, A. Teramoto, A. Ogura, K. Kimura, T. Muro, T. Kinoshita, S. Sugawa, T. Hattori, T. Ohmi
Extended Abstracts of the 2013 International Conference on Solid State Devices and Materials 610-611 2013年9月
-
Wet cleaning process for GaN Surface at room temperature 査読有り
Yukihiro Tsuji, Tsukuru Katsuyama, Akinobu Teramoto, Yasuyuki Shirai, Shigetoshi Sugawa, Tadahiro Ohmi
Extended Abstracts of the 2013 International Conference on Solid State Devices and Materials 162-163 2013年9月
-
Stress induced leakage current generated by hot-hole injection 査読有り
Akinobu Teramoto, Hyeonwoo Park, Takuya Inatsuka, Rihito Kuroda, Shigetoshi Sugawa, Tadahiro Ohmi
MICROELECTRONIC ENGINEERING 109 298-301 2013年9月
DOI: 10.1016/j.mee.2013.03.116
ISSN:0167-9317
eISSN:1873-5568
-
A Test Circuit for Extremely Low Gate Leakage Current Measurement of 10 aA for 80 000 MOSFETs in 80 s 査読有り
Takuya Inatsuka, Yuki Kumagai, Rihito Kuroda, Akinobu Teramoto, Tomoyuki Suwa, Shigetoshi Sugawa, Tadahiro Ohmi
IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING 26 (3) 288-295 2013年8月
ISSN:0894-6507
eISSN:1558-2345
-
撮像素子の高機能化と小型化 査読有り
須川成利
光学 42 (7) 351-356 2013年7月
出版者・発行元: 日本光学会(応用物理学会) -
Impact of the Use of Xe and Its Recycling System for Preparing Amorphous InGaZnO Thin Film Transistors by Dual-Target Rotation Magnet Sputtering 査読有り
Tetsuya Goto, Hidekazu Ishii, Shigetoshi Sugawa, Tadahiro Ohmi
The Twelfth International Symposium on Sputtering & Plasma Processes (ISSP 2013) 22-25 2013年7月
-
Chemical Structure of Interfacial Transition Layer Formed on Si(100) and Its Dependence on Oxidation Temperature, Annealing in Forming Gas, and Difference in Oxidizing Species (vol 52, 031302, 2013) 査読有り
Tomoyuki Suwa, Akinobu Teramoto, Yuki Kumagai, Kenichi Abe, Xiang Li, Yukihisa Nakao, Masashi Yamamoto, Hiroshi Nohira, Takayuki Muro, Toyohiko Kinoshita, Shigetoshi Sugawa, Tadahiro Ohmi, Takeo Hattori
JAPANESE JOURNAL OF APPLIED PHYSICS 52 (6) 031302-1-031302-14 2013年6月
ISSN:0021-4922
eISSN:1347-4065
-
Low-Interface-Trap-Density and High-Breakdown-Electric-Field SiN Films on GaN Formed by Plasma Pretreatment Using Microwave-Excited Plasma-Enhanced Chemical Vapor Deposition 査読有り
Tadashi Watanabe, Akinobu Teramoto, Yukihisa Nakao, Shigetoshi Sugawa, Tadahiro Ohmi
IEEE TRANSACTIONS ON ELECTRON DEVICES 60 (6) 1916-1922 2013年6月
ISSN:0018-9383
eISSN:1557-9646
-
Impact of Injected Carrier Types to Stress Induced Leakage Current Using Substrate Hot Carrier Injection Stress 査読有り
H. W. Park, A. Teramoto, T. Inatsuka, R. Kuroda, S. Sugawa, T. Ohmi
2013 Asia-Pacific Workshop on Fundamentals and Application of Advanced Semiconductor Devices (AWAD 2013) 75-78 2013年6月
-
A FSI CMOS Image Sensor with 200-1000 nm Spectral Response and High Robustness to Ultraviolet Light Exposure 査読有り
Rihito Kuroda, Shun Kawada, Satoshi Nasuno, Taiki Nakazawa, Yasumasa Koda, Katsuhiko Hanzawa, Shigetoshi Sugawa
2013 International Image Sensor Workshop 61-64 2013年6月
-
Electrical Properties of Amorphous InGaZnO Thin-Film Transistors Prepared by Magnetron Sputtering with Using Kr and Xe Instead of Ar 査読有り
Tetsuya Goto, Shigetoshi Sugawa, Tadahiro Ohmi
Society for Information Display, SID International Symposium, Seminar, and Exhibition, DisplayWeek 2013 727-730 2013年5月
-
XPS analysis of the terminated-bonding states at GaN surface after chemical and plasma treatments 査読有り
Tsuji, Yukihiro, Tsuji, Yukihiro, Makabe, Isao, Nakata, Ken, Katsuyama, Tsukuru, Watanabe, Tadashi, Nakamura, Kenichi, Nakamura, Kenichi, Teramoto, Akinobu, Shirai, Yasuyuki, Sugawa, Shigetoshi, Sugawa, Shigetoshi, Ohmi, Tadahiro
Physica Status Solidi (C) Current Topics in Solid State Physics 10 (11) MoPC-06-21 2013年5月
ISSN:1862-6351
-
Impact of the Use of Xe on Electrical Properties in Magnetron-Sputtering Deposited Amorphous InGaZnO Thin-Film Transistors 査読有り
Tetsuya Goto, Shigetoshi Sugawa, Tadahiro Ohmi
JAPANESE JOURNAL OF APPLIED PHYSICS 52 (5) 050203-1-050203-4 2013年5月
ISSN:0021-4922
-
Stress induced leakage current generated by hot-hole injection 査読有り
A. Teramoto, H.W. Park, T. Inatsuka, R. Kuroda, S. Sugawa, T. Ohmi
18th Conference of “Insulating Films on Semiconductors” (infos2013) Book of Abstracts 156-157 2013年5月
-
A 2.8 μm pixel-pitch 55 ke− Full-Well Capacity Global-Shutter CMOS Image Sensor Using Lateral Overflow Integration Capacitor 査読有り
S. Sakai, Y. Tashiro, R. Kuroda, S. Sugawa
International Conference on Solid State Devices and Materials 52 (4) 1109-1110 2013年4月
ISSN:0021-4922
eISSN:1347-4065
-
A 2.8μm Pixel-Pitch 55 ke- Full-Well Capacity Global-Shutter Complementary Metal Oxide Semiconductor Image Sensor Using Lateral Overflow Integration Capacitor 査読有り
Shin Sakai, Yoshiaki Tashiro, Rihito Kuroda, Shigetoshi Sugawa
Japanese Journal of Applied Physics 52 (4) 04CE01-1-04CE01-5 2013年4月
ISSN:0021-4922
eISSN:1347-4065
-
High Quality SiO2/Al2O3 Gate Stack for GaN Metal–Oxide–Semiconductor Field-Effect Transistor 査読有り
Hiroshi Kambayashi, Takehiko Nomura, Hirokazu Ueda, Katsushige Harada, Yuichiro Morozumi, Kazuhide Hasebe, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
Japanese Journal of Applied Physics, 52 (4) 04CF09-1-04CF09-6 2013年4月
ISSN:0021-4922
eISSN:1347-4065
-
A Column-Parallel Hybrid Analog-to-Digital Converter Using Successive-Approximation-Register and Single-Slope Architectures with Error Correction for Complementary Metal Oxide Silicon Image Sensors 査読有り
Tsung-Ling Li, Shin Sakai, Shun Kawada, Yasuyuki Goda, Shunichi Wakashima, Rihito Kuroda, Shigetoshi Sugawa
JAPANESE JOURNAL OF APPLIED PHYSICS 52 (4) 04CE04-1-04CE04-7 2013年4月
ISSN:0021-4922
eISSN:1347-4065
-
Ultra-High-Speed Imaging 招待有り 査読有り
Shigetosi Sugawa
IEEE INTERNATIONAL SOLID-STATE CIRCUITS CONFERENCE, FORUM, F4: SCIENTIFIC IMAGING 2013年2月
-
Recent Trend on Wide Dynamic Range Image Sensor Technologies 招待有り 査読有り
Shigetoshi Sugawa
Technology Front of Optical Design and Imaging for DSC, Pre-ODF'14 2013年1月31日
-
Effect of composition rate on erbium silicide work function on different silicon surface orientation 査読有り
H. Tanaka, A. Teramoto, T. Motoya, S. Sugawa, T. Ohmi
223rd Meeting of The Electrochemical Society 773 2013年
-
A global-shutter CMOS image sensor with readout speed of 1-tpixel/s burst and 780-mpixel/s continuous 査読有り
Yasuhisa Tochigi, Katsuhiko Hanzawa, Yuri Kato, Rihito Kuroda, Hideki Mutoh, Ryuta Hirose, Hideki Tominaga, Kenji Takubo, Yasushi Kondo, Shigetoshi Sugawa
IEEE Journal of Solid-State Circuits 48 (1) 329-338 2013年
DOI: 10.1109/JSSC.2012.2219685
ISSN:0018-9200
-
Color reproductivity improvement with additional virtual color filters for WRGB image sensor 査読有り
Shun Kawada, Rihito Kuroda, Shigetoshi Sugawa
Proceedings of SPIE - The International Society for Optical Engineering 8652 865205-1-865205-7 2013年
DOI: 10.1117/12.2003320
ISSN:0277-786X
-
A statistical evaluation of low frequency noise of in-pixel source follower-equivalent transistors with various channel types and body bias 査読有り
R. Kuroda, A. Yonezawa, A. Teramoto, T. L. Li, Y. Tochigi, S. Sugawa
Proceedings of SPIE - The International Society for Optical Engineering 8659 86590D-1-86590D-9 2013年
DOI: 10.1117/12.2005759
ISSN:0277-786X
-
New Analog Readout Architecture for Low Noise CMOS Image Sensors Using Column-Parallel Forward Noise-Canceling Circuitry 査読有り
Tsung-Ling Li, Yasuyuki Goda, Shunichi Wakashima, Rihito Kuroda, Shigetoshi Sugawa
SENSORS, CAMERAS, AND SYSTEMS FOR INDUSTRIAL AND SCIENTIFIC APPLICATIONS XIV 8659 86590E-1-86590E-9 2013年
DOI: 10.1117/12.2003741
ISSN:0277-786X
eISSN:1996-756X
-
A CMOS Image Sensor using Floating Capacitor Load Readout Operation 査読有り
S. Wakashima, Y. Goda, T. L. Li, R. Kuroda, S. Sugawa
SENSORS, CAMERAS, AND SYSTEMS FOR INDUSTRIAL AND SCIENTIFIC APPLICATIONS XIV 8659 86590I-1-86590I-9 2013年
DOI: 10.1117/12.2004892
ISSN:0277-786X
-
A UV Si-photodiode with almost 100% internal QE and high transmittance on-chip multilayer dielectric stack 査読有り
Y. Koda, R. Kuroda, T. Nakazawa, Y. Nakao, S. Sugawa
SENSORS, CAMERAS, AND SYSTEMS FOR INDUSTRIAL AND SCIENTIFIC APPLICATIONS XIV 8659 86590J-1-86590J-6 2013年
DOI: 10.1117/12.2005574
ISSN:0277-786X
-
High integrity SiO2/Al2O3 gate stack for normally-off GaN MOSFET 査読有り
Hiroshi Kambayashi, Takehiko Nomura, Hirokazu Ueda, Katsushige Harada, Yuichiro Morozumi, Kazuhide Hasebe, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
Materials Research Society Symposium Proceedings 1561 7-12 2013年
出版者・発行元: Materials Research SocietyDOI: 10.1557/opl.2013.822
ISSN:0272-9172
-
The Study of Time Constant Analysis in Random Telegraph Noise at the Subthreshold Voltage Region 査読有り
A. Yonezawa, A. Teramoto, T. Obara, R. Kuroda, S. Sugawa, T. Ohmi
2013 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM (IRPS) XT.11.1 2013年
DOI: 10.1109/IRPS.2013.6532126
ISSN:1541-7026
-
Demonstrating Distribution of SILC Values at Individual Leakage Spots 査読有り
Takuya Inatsuka, Rihito Kuroda, Akinobu Teramoto, Yuki Kumagai, Shigetoshi Sugawa, Tadahiro Ohmi
2013 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM (IRPS) GD.5.1 2013年
DOI: 10.1109/IRPS.2013.6532088
ISSN:1541-7026
-
Effect of composition rate on erbium silicide work function on different silicon surface orientation 査読有り
Hiroaki Tanaka, Akinobu Teramoto, Tsukasa Motoya, Shigetoshi Sugawa, Tadahiro Ohmi
ECS Transactions 53 (1) 343-350 2013年
ISSN:1938-5862 1938-6737
-
XPS analysis of the terminated-bonding states at GaN surface after chemical and plasma treatments 査読有り
Yukihiro Tsuji, Tadashi Watanabe, Kenichi Nakamura, Isao Makabe, Ken Nakata, Tsukuru Katsuyama, Akinobu Teramoto, Yasuyuki Shirai, Shigetoshi Sugawa, Tadahiro Ohmi
PHYSICA STATUS SOLIDI C: CURRENT TOPICS IN SOLID STATE PHYSICS, VOL 10, NO 11 10 (11) 1557-1560 2013年
ISSN:1862-6351
-
Amorphous In-Ga-Zn-O thin-film transistors prepared by magnetron sputtering using Kr and Xe instead of Ar 査読有り
Tetsuya Goto, Shigetoshi Sugawa, Tadahiro Ohmi
Journal of the Society for Information Display 21 (12) 517-523 2013年
出版者・発行元: Society for Information DisplayDOI: 10.1002/jsid.210
ISSN:1938-3657 1071-0922
-
High-speed and highly accurate evaluation of electrical characteristics in MOSFETs 招待有り 査読有り
Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
ICICDT 2013 - International Conference on IC Design and Technology, Proceedings 187-190 2013年
DOI: 10.1109/ICICDT.2013.6563333
-
Angle-resolved photoelectron spectroscopy study on interfacial transition layer and oxidation-induced residual stress in Si(1 0 0) substrate near the interface 査読有り
Tomoyuki Suwa, Akinobu Teramoto, Kohki Nagata, Atsushi Ogura, Hiroshi Nohira, Takayuki Muro, Toyohiko Kinoshita, Shigetoshi Sugawa, Tadahiro Ohmi, Takeo Hattori
Microelectronic Engineering 109 197-199 2013年
DOI: 10.1016/j.mee.2013.03.004
ISSN:0167-9317
-
1/f noise of accumulation mode p- and n-MOSFETs 査読有り
Philippe Gaubert, Akinobu Teramoto, Tadahiro Ohmi, Shigetoshi Sugawa
2013 22ND INTERNATIONAL CONFERENCE ON NOISE AND FLUCTUATIONS (ICNF) 40 2013年
DOI: 10.1109/ICNF.2013.6578879
-
Schottky barrier height between erbium silicide and various morphology of Si(100) surface changed by alkaline etching 査読有り
Hiroaki Tanaka, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
ECS Transactions 58 (7) 349-354 2013年
出版者・発行元: Electrochemical Society Inc.ISSN:1938-6737 1938-5862
-
Diaphragm Durability Enhancement for Valves Supplying Gas for Atomic Layer Deposition 査読有り
M. Yamaji, T. Tanikawa, T. Yakushijin, T. Funakoshi, S. Yamashita, A. Hidaka, M. Nagase, N. Ikeda, S. Sugawa, T. Ohmi
ECS Transactions 58 (10) 41-48 2013年
ISSN:1938-5862
-
High performance normally-off GaN MOSFETs on Si substrates 査読有り
H. Kambayashi, N. Ikeda, T. Nomura, H. Ueda, Y. Morozumi, K. Harada, K. Hasebe, A. Teramoto, S. Sugawa, T. Ohmi
ECS Transactions 58 (4) 155-166 2013年
ISSN:1938-5862
-
Adhesion Characteristics of Magnetron-Sputter Deposited Copper on Smooth Cycloolefin for Realizing Wiring with High-Frequency Signal Propagation 査読有り
Tetsuya Goto, Takatoshi Matsuo, Masamichi Iwaki, Kazuki Soeda, Ryosuke Hiratsuka, Shigetoshi Sugawa, Tadahiro Ohmi
Transactions of The Japan Institute of Electronics Packaging 5 (1) 12-19 2012年12月
出版者・発行元: The Japan Institute of Electronics PackagingDOI: 10.5104/jiepeng.5.12
ISSN:1883-3365
-
Comprehensive study on chemical structures of compositional transition layer at SiO2/Si(100) interface 査読有り
Tomoyuki Suwa, Akinobu Teramoto, Takayuki Muro, Toyohiko Kinoshita, Shigetoshi Sugawa, Takeo Hattori, Tadahiro Ohmi
Pacific Rim Meeting on Electrochemical and Solid State Science 222nd Meeting of The Electrochemical Society 2609 2012年10月
-
Low frequency noise assessment of accumulation Si p-MOSFETs 査読有り
Philippe Gaubert, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
International Conference on Solid State Devices and Materials 839-840 2012年9月24日
-
High Quality SiO2/Al2O3 Gate Stack for GaN MOSFET 査読有り
Hiroshi Kambayashi, Takehoko Nomura, Hirokazu Ueda, Katsushige Harada, Yuichiro Morozumi, Kazuhide Hasebe, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
International Conference on Solid State Devices and Materials 901-902 2012年9月24日
-
A Column-Parallel Hybrid ADC using SAR and Single-Slope with Error Correction for CMOS Image Sensors 査読有り
Tsung-Ling Li, Shin Sakai, Shun Kawada, Yasuyuki Goda, Shunichi Wakashima, Rihito Kuroda, Shigetoshi Sugawa
International Conference on Solid State Devices and Materials 1113-1114 2012年9月24日
-
Dependence of chemical structures of transition layer at SiO2/Si(100) interface on oxidation temperature, annealing in forming gas, and oxidizing species 査読有り
Tomoyuki Suwa, Akinobu Teramoto, Takayuki Muro, Toyohiko Kinoshita, Shigetoshi Sugawa, Takeo Hattori, Tadahiro Ohmi
International Conference on Solid State Devices and Materials 28-29 2012年9月24日
-
Achievement, Issues, and next steps of CMOS image sensors 招待有り 査読有り
Shigetoshi Sugawa
International Workshop on Semiconductor Pixel Detectors for Particles and Imaging 2012年9月4日
-
A Test Circuit for Statistical Evaluation of p-n Junction Leakage Current and Its Noise 査読有り
Kenichi Abe, Takafumi Fujisawa, Hiroyoshi Suzuki, Shunichi Watabe, Rihito Kuroda, Shigetoshi Sugawa, Akinobu Teramoto, Tadahiro Ohmi
IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING 25 (3) 303-309 2012年8月
ISSN:0894-6507
eISSN:1558-2345
-
A Novel Chemically, Thermally and Electrically Robust Cu Interconnect Structure with an Organic Non-porous Ultralow-k Dielectric Fluorocarbon (k=2.2) 査読有り
X. Gu, A. Teramoto, R. Kuroda, Y. Tomita, T. Nemoto, S.-i. Kuroki, S. Sugawa, T. Ohmi
Proceeding of 2012 Symposium on VLSI Technology 119-120 2012年6月12日
DOI: 10.1109/VLSIT.2012.6242490
ISSN:0743-1562
-
Low Temperature Crystallization of a-InGaZnO4 films 査読有り
Akihiko Hiroe, Tetsuya Goto, Shigetoshi Sugawa, Tadahiro Ohmi
Society for Information Display, Display Week 2012 1251-1253 2012年6月
-
Deposition of a-InGaZnOx by Rotation Magnet Sputtering 査読有り
Akihiko Hiroe, Tetsuya Goto, Shigetoshi Sugawa, Tadahiro Ohmi
Society for Information Display, Display Week 2012 760-763 2012年6月
-
100nm-gate-length Normally-off Accumulation-Mode FD-SOI MOSFETs for Low Noise Analog/RF Circuits 査読有り
Hidetoshi Utsumi, Ryohei Kasahara, Yukihisa Nakao, Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
[2012 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2012) 56-61 2012年6月
-
New Metal Organic Gas Supply System by Using an Advanced Flow Control System 査読有り
Michio Yamaji, Satoru Yamashita, Atsushi Hidaka, Masaaki Nagase, Nobukazu Ikeda, Shigetoshi Sugawa, Tadahiro Ohmi
221st Meeting of The Electrochemical Society 739 2012年5月
-
Electrical Properties of Silicon Nitride Using High Density and Low Plasma Damage PECVD Formed at 400ºC 査読有り
Yukihisa Nakao, Rihito Kuroda, Hiroaki Tanaka, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
221st Meeting of The Electrochemical Society 738 2012年5月
-
Low Work Function between Erbium Silicide and n-type Silicon Control by Cap Film Stress 査読有り
Hiroaki Tanaka, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
221st Meeting of The Electrochemical Society 716 2012年5月
-
A Simple Test Structure for Evaluating the Variability in Key Characteristics of a Large Number of MOSFETs 査読有り
Shunichi Watabe, Akinobu Teramoto, Kenichi Abe, Takafumi Fujisawa, Naoto Miyamoto, Shigetoshi Sugawa, Tadahiro Ohmi
IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING 25 (2) 145-154 2012年5月
ISSN:0894-6507
eISSN:1558-2345
-
Cu Single Damascene Integration of an Organic Nonporous Ultralow-k Fluorocarbon Dielectric Deposited by Microwave-Excited Plasma-Enhanced CVD 査読有り
Xun Gu, Takenao Nemoto, Yugo Tomita, Akinobu Teramoto, Rihito Kuroda, Shin-Ichiro Kuroki, Kazumasa Kawase, Shigetoshi Sugawa, Tadahiro Ohmi
IEEE TRANSACTIONS ON ELECTRON DEVICES 59 (5) 1445-1453 2012年5月
ISSN:0018-9383
eISSN:1557-9646
-
Integration Process Development for Improved Compatibility with Organic Non-Porous Ultralow-k Dielectric Fluorocarbon on Advanced Cu Interconnects 査読有り
Xun Gu, Yugo Tomita, Takenao Nemoto, Kotaro Miyatani, Akane Saito, Yasuo Kobayashi, Akinobu Teramoto, Rihito Kuroda, Shin-Ichiro Kuroki, Kazumasa Kawase, Toshihisa Nozawa, Takaaki Matsuoka, Shigetoshi Sugawa, Tadahiro Ohmi
JAPANESE JOURNAL OF APPLIED PHYSICS 51 (5) 05EC03-1-05EC03-6 2012年5月
ISSN:0021-4922
eISSN:1347-4065
-
Changes in SiO2/Si(100) Interface Structure Induced by Forming Gas Annealing 査読有り
Tomoyuki Suwa, Yuki Kumagai, Akinobu Teramoto, Takayuki Muro, Toyohiko Kinoshita, Shigetoshi Sugawa, Takeo Hattori, Tadahiro Ohmi
221st Meeting of The Electrochemical Society Abs.712 2012年5月
-
Recovery Characteristics of Anomalous Stress-Induced Leakage Current of 5.6nm Oxide Films 査読有り
Takuya Inatsuka, Yuki Kumagai, Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
JAPANESE JOURNAL OF APPLIED PHYSICS 51 (4) 04DC02-1-04DC02-6 2012年4月
ISSN:0021-4922
eISSN:1347-4065
-
Hole Mobility in Accumulation Mode Metal–Oxide–Semiconductor Field-Effect Transistors 査読有り
Philippe Gaubert, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
Japanese Journal of Applied Physics 51 (4) 04DC07-1-04DC07-6 2012年4月
ISSN:0021-4922
-
High Integrity SiO2 Gate Insulator Formed by Microwave-Excited Plasma Enhanced Chemical Vapor Deposition for AlGaN/GaN Hybrid Metal–Oxide–Semiconductor Heterojunction Field-Effect Transistor on Si Substrate 査読有り
Hiroshi Kambayashi, Takehiko Nomura, Sadahiro Kato, Hirokazu Ueda, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
Japanese Journal of Applied Physics 51 (4) 04DF03-1-04DF03-4 2012年4月
ISSN:0021-4922
eISSN:1347-4065
-
Adhesion Characteristics of Magnetron-Sputter Deposited Copper on Smooth Cycloolefin for Realizing High-Performance Printed Wiring Board 査読有り
T. Goto, T. Matsuo, M. Iwaki, K. Soeda, R. Hiratsuka, S. Sugawa, T. Ohmi
Joint Conference of "International Conference on Electronics Packaging" and "IMAPS All Asia Conference" 488-492 2012年4月
-
広ダイナミックレンジイメージセンサの技術動向 査読有り
須川成利
応用物理 81 (2) 97-101 2012年2月
-
On the Interface Flattening Effect and Gate Insulator Breakdown Characteristic of Radical Reaction Based Insulator Formation Technology 査読有り
Rihito Kuroda, Akinobu Teramoto, Xiang Li, Tomoyuki Suwa, Shigetoshi Sugawa, Tadahiro Ohmi
JAPANESE JOURNAL OF APPLIED PHYSICS 51 (2) 02BA01-1-02BA01-6 2012年2月
ISSN:0021-4922
eISSN:1347-4065
-
The impact of an intermediate stage between the research stage and the development stage on the performance of integral architecture products
Hiroki Kamoda, Shigetoshi Sugawa, Akio Nagahira
International Journal of Product Development 16 (1) 63-76 2012年
出版者・発行元: Inderscience PublishersISSN:1741-8178 1477-9056
-
The role of the temperature on the scattering mechanisms limiting the electron mobility in metal-oxide-semiconductor field-effect-transistors fabricated on (110) silicon-oriented wafers 査読有り
Philippe Gaubert, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
European Solid-State Device Research Conference 213-216 2012年
DOI: 10.1109/ESSDERC.2012.6343371
ISSN:1930-8876
-
Advanced Direct-Polishing Process Development of Non-Porous Ultralow-k Dielectric Fluorocarbon with Plasma Treatment on Cu Interconnects 査読有り
Xun Gu, Takenao Nemoto, Yugo Tomita, Akinobu Teramoto, Rihito Kuroda, Shigetoshi Sugawa, Tadahiro Ohmi
JOURNAL OF THE ELECTROCHEMICAL SOCIETY 159 (4) H407-H411 2012年
DOI: 10.1149/2.049204jes
ISSN:0013-4651
eISSN:1945-7111
-
Photodiode dopant structure with atomically flat Si surface for high-sensitivity and stability to UV light 査読有り
Taiki Nakazawa, Rihito Kuroda, Yasumasa Koda, Shigetoshi Sugawa
IS&T/SPIE Electronic Imaging 8298-18 2012年
DOI: 10.1117/12.907727
ISSN:0277-786X
eISSN:1996-756X
-
A global-shutter CMOS image sensor with readout speed of 1Tpixel/s burst and 780Mpixel/s continuous 査読有り
Yasuhisa Tochigi, Katsuhiko Hanzawa, Yuri Kato, Rihito Kuroda, Hideki Mutoh, Ryuta Hirose, Hideki Tominaga, Kenji Takubo, Yasushi Kondo, Shigetoshi Sugawa
Digest of Technical Papers - IEEE International Solid-State Circuits Conference 55 382-383 2012年
DOI: 10.1109/ISSCC.2012.6177046
ISSN:0193-6530
-
A Test Circuit for Extremely Low Gate Leakage Current Measurement of 10 aA for 80,000 MOSFETs in 80 s 査読有り
Y. Kumagai, T. Inatsuka, R. Kuroda, A. Teramoto, T. Suwa, S. Sugawa, T. Ohmi
2012 IEEE INTERNATIONAL CONFERENCE ON MICROELECTRONIC TEST STRUCTURES (ICMTS) 131-136 2012年
DOI: 10.1109/ICMTS.2012.6190631
ISSN:1071-9032
-
Statistical Analysis of Random Telegraph Noise Reduction Effect by Separating Channel From the Interface 査読有り
A. Yonezawa, A. Teramoto, R. Kuroda, H. Suzuki, S. Sugawa, T. Ohmi
2012 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM (IRPS) 3B.5.1-3B.5.7 2012年
DOI: 10.1109/IRPS.2012.6241809
-
Electrical Properties of Silicon Nitride Using High Density and Low Plasma Damage PECVD Formed at 400 degrees C 査読有り
Y. Nakao, A. Teramoto, T. Watanabe, R. Kuroda, T. Suwa, S. Sugawa, T. Ohmi
ECS Transactions 45 (3) 421-428 2012年
DOI: 10.1149/1.3700907
ISSN:1938-5862
-
New Metal Organic Gas Supply System by Using an Advanced Flow Control System 査読有り
M. Yamaji, S. Yamashita, A. Hidaka, M. Nagase, N. Ikeda, S. Sugawa, T. Ohmi
ECS Transactions 45 (3) 429-435 2012年
DOI: 10.1149/1.3700908
ISSN:1938-5862
-
Low Work Function between Erbium Silicide and n-type Silicon Controlled by Cap Film Stress 査読有り
Hiroaki Tanaka, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
ECS Transactions 45 (3) 371-378 2012年
DOI: 10.1149/1.3700902
ISSN:1938-5862
-
Influence of Forming Gas Annealing on SiO2/Si(100) Interface Structures Formed Utilizing Oxygen Molecules Different From That Utilizing Oxygen Radicals 査読有り
Tomoyuki Suwa, Yuki Kumagai, Akinobu Teramoto, Takayuki Muro, Toyohiko Kinoshita, Shigetoshi Sugawa, Takeo Hattori, Tadahiro Ohmi
ECS Transactions 45 (3) 453-460 2012年
DOI: 10.1149/1.3700911
ISSN:1938-5862
eISSN:1938-6737
-
Comprehensive Study on Chemical Structures of Compositional Transition Layer at SiO2/Si(100) Interface 査読有り
T. Suwa, A. Teramoto, T. Muro, T. Kinoshita, S. Sugawa, T. Hattori, T. Ohmi
ECS Transactions 50 (4) 313-318 2012年
ISSN:1938-5862
-
Effect of Erbium Silicide Crystallinity for Low Barrier Contact between Erbium Silicide and n-type Silicon 査読有り
Hiroaki Tanaka, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
ECS Transactions 50 (4) 343-348 2012年
ISSN:1938-5862
-
Spatial Distribution of Properties of a-IGZO Films Deposited by Rotation Magnet Sputtering Incorporating Dual Target Structure 査読有り
Tetsuya Goto, Shigetoshi Sugawa, Tadahiro Ohmi
IDW/AD '12: PROCEEDINGS OF THE INTERNATIONAL DISPLAY WORKSHOPS, PT 2 19 973-976 2012年
ISSN:1883-2490
-
Development of Direct-polish Process of CMP and Post-CMP Clean for Next Generation Advanced Cu Interconnects 査読有り
Xun Gu, Yugo Tomita, Takenao Nemoto, Akinobu Teramoto, Ricardo Duyos Mateo, Takeshi Sakai, Rihito Kuroda, Shin-Ichiro Kuroki, Shigetoshi Sugawa, Tadahiro Ohmi
2011 International Conference on Planarization&CMP 150-157 2011年11月
-
Formation speed of atomically flat surface on Si (100) in ultra-pure argon 査読有り
Xiang Li, Akinobu Teramoto, Tomoyuki Suwa, Rihito Kuroda, Shigetoshi Sugawa, Tadahiro Ohmi
MICROELECTRONIC ENGINEERING 88 (10) 3133-3139 2011年10月
DOI: 10.1016/j.mee.2011.06.014
ISSN:0167-9317
eISSN:1873-5568
-
Highly Reliable Radical SiO2 Films on Atomically Flat Silicon Surface Formed by Low Temperature Pure Ar Annealing 査読有り
Xiang Li, Rihito Kuroda, Tomoyuki Suwa, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
JAPANESE JOURNAL OF APPLIED PHYSICS 50 (10) 10PB05-1-10PB05-7 2011年10月
ISSN:0021-4922
eISSN:1347-4065
-
Evaluation for Anomalous Stress-Induced Leakage Current of Gate SiO2 Films Using Array Test Pattern 査読有り
Yuki Kumagai, Akinobu Teramoto, Takuya Inatsuka, Rihito Kuroda, Tomoyuki Suwa, Shigetoshi Sugawa, Tadahiro Ohmi
IEEE TRANSACTIONS ON ELECTRON DEVICES 58 (10) 3307-3313 2011年10月
ISSN:0018-9383
eISSN:1557-9646
-
Large Scale Test Circuits for High Speed and Highly Accurate Evaluation of Variability and Noise of MOSFETs’ Electrical Characteristics 査読有り
Yuki Kumagai, Kenichi Abe, Takafumi Fujisawa, Shunichi Watabe, Rihito Kuroda, Naoto Miyamoto, Tomoyuki Suwa, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
Japanese Journal of Applied Physics 50 (10) 106701-1-106701-11 2011年10月
ISSN:0021-4922
eISSN:1347-4065
-
High power normally-off GaN MOSFET on Si substrate 査読有り
H. Kambayashi, Y. Satoh, T. Kokawa, N. Ikeda, T. Nomura, S. Kato, A. Teramoto, S. Sugawa, T. Ohmi
220th Meeting of The Electrochemical Society Abs.2172 2011年10月
-
Process Optimization for Improved Compatibility with Organic Non-porous Low-k Dielectric Fluorocarbon on advanced Cu Interconnects 査読有り
Xun Gu, Yugo Tomita, Takenao Nemoto, Kotaro Miyatani, Akane Saito, Yasuo Kobayashi, Akinobu Teramoto, Rihito Kuroda, Shin-Ichiro Kuroki, Toshihisa Nozawa, Takaaki Matsuoka, Shigetoshi Sugawa, Tadahiro Ohmi
Advanced Metallization Conference 2011 20-21 2011年9月
-
High Integrity SiO2 Gate Insulator Formed by Microwave-Excited PECVD for AlGaN/GaN Hybrid MOS-HFET on Si Substrate 査読有り
Hiroshi Kambayashi, Takehoko Nomura, Sadahiro, Kato, Hirokazu Ueda, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
2011 International Conference on SOLID STATE DEVICES AND MATERIALS 600-601 2011年9月
-
Recovery Characteristic of Anomalous Stress Induced Leakage Current of 5.6nm Oxide Films 査読有り
T. Inatsuka, Y. Kumagai, R. Kuroda, A. Teramoto, S. Sugawa, T. Ohmi
2011 International Conference on SOLID STATE DEVICES AND MATERIALS 841-842 2011年9月
-
Impact of Random Telegraph Noise Reduction with Buried Channel MOSFET 査読有り
Hiroyoshi Suzuki, Rihito Kuroda, Akinobu Teramoto, Akihiro Yonezawa, Shigetoshi Sugawa, Tadahiro Ohmi
2011 International Conference on SOLID STATE DEVICES AND MATERIALS 851-852 2011年9月
-
On the Si Surface Flattening Effect and Gate Insulator Breakdown Characteristic of Radical Reaction Based Insulator Formation Technology 査読有り
Rihito Kuroda, Akinobu Teramoto, Xiang Li, Tomoyuki Suwa, Shigetoshi Sugawa, Tadahiro Ohmi
2011 International Conference on SOLID STATE DEVICES AND MATERIALS 903-904 2011年9月
-
High Quality and Low Thermal Budget Silicon Nitride Deposition Using PECVD for Gate Spacer, Silicide Block and Contact Etch Stopper 査読有り
Y. Nakao, R. Kuroda, H. Tanaka, A. Teramoto, S. Sugawa, T. Ohmi
2011 International Conference on SOLID STATE DEVICES AND MATERIALS 905-906 2011年9月
-
Clear Difference between Chemical Structure of SiO2/Si Interface Formed Using Oxygen Radicals and That Formed Using Oxygen Molecules 査読有り
Tomoyuki Suwa, Yuki Kumagai, Akinobu Teramoto, Takayuki Muro, Toyohiko Kinoshita, Shigetoshi Sugawa, Takeo Hattori, Tadahiro Ohmi
2011 International Conference on SOLID STATE DEVICES AND MATERIALS 22-23 2011年9月
-
Evidence of the universality of the hole mobility in accumulation MOS transistors 査読有り
Philippe Gaubert, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
2011 International Conference on SOLID STATE DEVICES AND MATERIALS 114-115 2011年9月
-
Highly Ultraviolet Light Sensitive and Highly Reliable Photodiode with Atomically Flat Si Surface 査読有り
Rihito Kuroda, Taiki Nakazawa, Katsuhiko Hanzawa, Shigetoshi Sugawa
2011 International Image Sensor Workshop 38-41 2011年6月8日
-
Tribological Effects of Brush Scrubbing in Post Chemical Mechanical Planarization Cleaning on Electrical Characteristics in Novel Non-porous Low-$k$ Dielectric Fluorocarbon on Cu Interconnects
Gu Xun, Nemoto Takenao, Tomita Yugo, Teramoto Akinobu, Sugawa Shigetoshi, Ohmi Tadahiro
Jpn J Appl Phys 50 (5) 05EC07-05EC07-6 2011年5月25日
出版者・発行元: Published by the Japan Society of Applied Physics through the Institute of Pure and Applied PhysicsISSN:0021-4922
-
Electrical Characteristics of Novel Non-porous Low-k Dielectric Fluorocarbon on Cu Interconnects for 22 nm Generation and Beyond 査読有り
Xun Gu, Takenao Nemoto, Yugo Tomita, Akihide Shirotori, Kotaro Miyatani, Akane Saito, Yasuo Kobayashi, Akinobu Teramoto, Shin-Ichiro Kuroki, Toshihisa Nozawa, Takaaki Matsuoka, Shigetoshi Sugawa, Tadahiro Ohmi
JAPANESE JOURNAL OF APPLIED PHYSICS 50 (5) 05EB02-1-05EB02-5 2011年5月
ISSN:0021-4922
eISSN:1347-4065
-
Analysis of the Low-Frequency Noise Reduction in Si(100) Metal-Oxide-Semiconductor Field-Effect Transistors 査読有り
Philippe Gaubert, Akinobu Teramoto, Rihito Kuroda, Yukihisa Nakao, Hiroaki Tanaka, Shigetoshi Sugawa, Tadahiro Ohmi
JAPANESE JOURNAL OF APPLIED PHYSICS 50 (4) 04DC01-1-04DC01-6. 2011年4月
ISSN:0021-4922
eISSN:1347-4065
-
Impact of Channel Direction Dependent Low Field Hole Mobility on (100) Orientation Silicon Surface 査読有り
Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
JAPANESE JOURNAL OF APPLIED PHYSICS 50 (4) 04DC03-1-04DC03-6 2011年4月
ISSN:0021-4922
eISSN:1347-4065
-
Tribological and Kinetical Analysis of Barrier Metal Polishing for Next Generation Copper Interconnects 査読有り
Ricardo Duyos-Mateo, Xun Gu, Shigetoshi Sugawa, Takenao Nemoto, Yun Zhuang, Yasa Sampurno, Ara Philipossian, Tadahiro Ohmi
China Semiconductor Technology International Conference 2011 (CSTIC 2011) 2011年3月
-
Tribological and Kinetical Analysis of Barrier Metal Polishing for Next Generation Copper Interconnects 査読有り
R. Duyos Mateo, X.Gu, T. Nemoto, S. Sugawa, Y. Zhuang, Y. Sampurno, A. Philipossian, T. Ohmi
ECS Transactions 34 (1) 627-632 2011年3月
DOI: 10.1149/1.3567649
ISSN:1938-5862
-
技術成果を製品開発ステージにつなげるための技術開発マネジメント 査読有り
加守田裕樹, 須川成利
日本MOT学会『技術と経済』 2 42-48 2011年2月
-
High power normally-off GaN MOSFET 招待有り 査読有り
H. Kambayashi, Y. Satoh, T. Kokawa, N. Ikeda, T. Nomura, S. Kato, A. Teramoto, S. Sugawa, T. Ohmi
ECS Transactions 41 (8) 87-100 2011年
DOI: 10.1149/1.3631488
ISSN:1938-5862
-
Different properties of erbium silicides on Si (100) and Si (551) orientation surfaces 査読有り
H. Tanaka, A. Teramoto, R. Kuroda, Y. Nakao, T. Suwa, S. Sugawa, T. Ohmi
220th Meeting of The Electrochemical Society 2159 2011年
-
Gate SiO2 Film Integrity on Ultra-Pure Argon Anneal (100) Silicon Surface 査読有り
A. Teramoto, X. Li, R. Kuroda, T. Suwa, S. Sugawa, T. Ohmi
220th Meeting of The Electrochemical Society 2123 2011年
-
Advanced Direct-polish Process on Organic Non-porous Ultra Low-k Fluorocarbon Dielectric on Cu Interconnects 査読有り
Xun Gu, Takenao Nemoto, Yugo Tomita, Ricardo Duyos Mateo, Akinobu Teramoto, Shin-Ichiro Kuroki, Shigetoshi Sugawa, Tadahiro Ohmi
ECS Transacions 34 (1) 653-658 2011年
-
Dependence of the Decomposition of Trimethylaluminum on Oxygen Concentration 査読有り
Satoru Yamashita, Kohei Watanuki, Hidekazu Ishii, Yoshinobu Shiba, Masafumi Kitano, Yasuyuki Shirai, Shigetoshi Sugawa, Tadahiro Ohmi
JOURNAL OF THE ELECTROCHEMICAL SOCIETY 158 (2) H93-H96 2011年
DOI: 10.1149/1.3517080
ISSN:0013-4651
eISSN:1945-7111
-
High reliable SiO2 Films on Atomically Flat Silicon Surface Formed by Low Temperature Pure Ar Annealing 査読有り
X. Li, R. Kuroda, T. Suwa, A. Teramoto, S. Sugawa, T. Ohmi
Extend Abstracts of 2011 Interanational Workshop on Dielectric Thin Films For Future Electron Devices: Science and Technology (IWDTF) 107-108 2011年1月
-
A prototype high-speed CMOS image sensor with 10,000,000 fps burst-frame rate and 10,000 fps continuous-frame rate 査読有り
Yasuhisa Tochigi, Katsuhiko Hanzawa, Yuri Kato, Nana Akahane, Rihito Kuroda, Shigetoshi Sugawa
IS&T/SPIE Electronic Imaging 78760G-1-78760G-8 2011年
DOI: 10.1117/12.872207
ISSN:0277-786X
-
A robust color signal processing with wide dynamic range WRGB CMOS image sensor 査読有り
Shun Kawada, Rihito Kuroda, Shigetoshi Sugawa
IS&T/SPIE Electronic Imaging 78760W-1-78760W-8 2011年
DOI: 10.1117/12.872285
ISSN:0277-786X
-
Advanced Direct-polish Process on Organic Non-porous Ultra Low-k Fluorocarbon Dielectric on Cu Interconnects 査読有り
Xun Gu, Takenao Nemoto, Yugo Tomita, Ricardo Duyos Mateo, Akinobu Teramoto, Shin-Ichiro Kuroki, Shigetoshi Sugawa, Tadahiro Ohmi
CHINA SEMICONDUCTOR TECHNOLOGY INTERNATIONAL CONFERENCE 2011 (CSTIC 2011) 34 (1) 653-658 2011年
DOI: 10.1149/1.3567653
ISSN:1938-5862
-
Understanding of Traps Causing Random Telegraph Noise Based on Experimentally Extracted Time Constants and Amplitude 査読有り
Kenichi Abe, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
2011 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM (IRPS) A4.4.1-A4.4.6 2011年
-
Cu Damascene Interconnects with an Organic Low-k Fluorocarbon Dielectric Deposited by Microwave Excited Plasma Enhanced CVD 査読有り
X. Gu, T. Nemoto, Y. Tomita, A. Shirotori, R. Duyos-Mateo, K. Miyatani, A. Saito, Y. Kobayashi, A. Teramoto, S. Kuroki, T. Nozawa, T. Matsuoka, S. Sugawa, T. Ohmi
2011 IEEE INTERNATIONAL INTERCONNECT TECHNOLOGY CONFERENCE AND MATERIALS FOR ADVANCED METALLIZATION (IITC/MAM) Poster No. 44 2011年
DOI: 10.1109/IITC.2011.5940345
-
Gate SiO2 Film Integrity on Ultra-Pure Argon Anneal (100) Silicon Surface 査読有り
Akinobu Teramoto, Xiang Li, Rihito Kuroda, Tomoyuki Suwa, Shigetoshi Sugawa, Tadahiro Ohmi
ECS Transacions 41 (7) 147-156 2011年
DOI: 10.1149/1.3633294
ISSN:1938-5862
-
Different Properties of Erbium Silicides on Si(100) and Si(551) Orientation Surfaces 査読有り
Hiroaki Tanaka, Akinobu Teramoto, Rihito Kuroda, Yukihisa Nakao, Tomoyuki Suwa, Kazumasa Kawase, Shigetoshi Sugawa, Tadahiro Ohmi
ECS Transactions 41 (7) 365-373 2011年
DOI: 10.1149/1.3633317
ISSN:1938-5862
eISSN:1938-6737
-
Tribological Study of Brush Scrubbing in Post-Chemical Mechanical Planarization Cleaning in Non-porous Ultralow-k Dielectric/Cu Interconnects 査読有り
Xun Gu, Takenao Nemoto, Akinobu Teramoto, Misa Sakuragi, Shigetoshi Sugawa, Tadahiro Ohmi
JOURNAL OF THE ELECTROCHEMICAL SOCIETY 158 (11) H1145-H1151 2011年
DOI: 10.1149/2.046111jes
ISSN:0013-4651
eISSN:1945-7111
-
横型オーバフロー蓄積容量を用いたCMOSイメージセンサの画素縮小化技術 査読有り
酒井伸, 田代睦聡, 川田俊, 須川成利
映像情報メディア学会誌 64 (12) 1944-1950 2010年12月
DOI: 10.3169/itej.64.1944
-
Electrical Properties of Metal-Oxide-Containing SiO2 Films Formed by Organosiloxane Sol-Gel Precursor 査読有り
Kohei Watanuki, Atsutoshi Inokuchi, Akinori Banba, Hirokazu Suzuki, Tadashi Koike, Tatsuhiko Adachi, Tetsuya Goto, Akinobu Teramoto, Yasuyuki Shirai, Shigetoshi Sugawa, Tadahiro Ohmi
JAPANESE JOURNAL OF APPLIED PHYSICS 49 (11) 111503-1-111503-5 2010年11月
ISSN:0021-4922
-
Tribological, Thermal and Kinetic Studies of Ti and TiN CMP 査読有り
Ricardo Duyos-Mateo, Xun Gu, Takenao Nemoto, Yun Zhuang, Zhenxing Han, Yasa Sampurno, Ara Philipossian, Shigetoshi Sugawa, Tadahiro Ohmi
2010 International Conference on Planarization/CMP Technology, (2010) 13-18 2010年11月
-
Development of Direct-polish Process on Non-porous Ultra Low-k Dielectric/Cu Interconnects for 22nm Generation and Beyond 査読有り
Xun Gu, Takenao Nemoto, Yugo Tomita, Ricardo Duyos Mateo, Shigetoshi Sugawa, Tadahiro Ohmi
2010 International Conference on Planarization/CMP Technology 51-54 2010年11月
-
Dependence of Thermal Decomposition of Metal Organic Gases on Metal Surface for Gas Distribution System 査読有り
Satoru Yamashita, Kohei Watanuki, Hidekazu Ishii, Yoshinobu Shiba, Masafumi Kitano, Yasuyuki Shirai, Shigetoshi Sugawa, Tadahiro Ohmi
218th Meeting of the Electrochemical Society 1771 2010年10月
-
Evaluation of Narrow Gap Filling Ability in Shallow Trench Isolation by Organosiloxane Sol-Gel Precursor 査読有り
Kohei Watanuki, Atsutoshi Inokuchi, Akinori Banba, Nobuyuki Manabe, Hirokazu Suzuki, Tadashi Koike, Tatsuhiko Adachi, Tetsuya Goto, Akinobu Teramoto, Yasuyuki Shirai, Shigetoshi Sugawa, Tadahiro Ohmi
218th Meeting of The Electrochemical Society 1498 2010年10月
-
Electrical Characteristics of Novel Non-porous Low-k Dielectric Fluorocarbon on Cu Interconnects for 22nm Generation and Beyond 査読有り
Xun Gu, Takenao Nemoto, Yugo Tomita, Kotaro Miyatani, Akane Saito, Yasuo Kobayashi, Akinobu Teramoto, Shin-Ichiro Kuroki, Toshihisa Nozawa, Takaaki Matsuoka, Shigetoshi Sugawa, Tadahiro Ohmi
Advanced Metallization Conference 2010 54-55 2010年10月
-
Tribological Effects of Brush Scrubbing in Post-CMP Cleaning on the Electrical Characteristics in the Novel Non-porous Low-k Dielectric on Cu Interconnects 査読有り
Xun Gu, Takenao Nemoto, Yugo Tomita, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
Advanced Metallization Conference 2010 160-161 2010年10月
-
Large Scale Test Circuits for Systematic Evaluation of Variability and Noise of MOSFETs’ Electrical Characteristics 査読有り
Y. Kumagai, K. Abe, T. Fujisawa, S. Watabe, R. Kuroda, N. Miyamoto, T. Suwa, A. Teramoto, S. Sugawa, T. Ohmi
2010 International Conference on SOLID STATE DEVICES AND MATERIALS 804-805 2010年9月23日
-
Impact of Channel Direction Dependent Low Field Hole Mobility on Si(100) 査読有り
Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
2010 International Conference on SOLID STATE DEVICES AND MATERIALS 51-52 2010年9月22日
-
グローバルディスクリート事業展開におけるキャッシュフローに影響を与える要因分析 査読有り
立石文雄, 倉橋正志, 中村正, 水本智也, 中塚信雄, 須川成利
日本MOT学会「技術と経済」 (523) 48-56 2010年9月
出版者・発行元: 科学技術と経済の会ISSN:0285-9912
-
Statistical Evaluation of Process Damage Using an Arrayed Test Pattern in a Large Number of MOSFETs 査読有り
Shunichi Watabe, Akinobu Teramoto, Kenichi Abe, Takafumi Fujisawa, Naoto Miyamoto, Shigetoshi Sugawa, Tadahiro Ohmi
IEEE TRANSACTIONS ON ELECTRON DEVICES 57 (6) 1310-1318 2010年6月
ISSN:0018-9383
-
High Integrity Gate Insulator Films on Atomically Flat Silicon Surface 査読有り
X. Li, R. Kuroda, T. Suwa, A. Teramoto, S. Sugawa, T. Ohmi
2010 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices, 110 (109) 183-188 2010年6月
出版者・発行元: 一般社団法人電子情報通信学会ISSN:0913-5685
-
High Current Drivability FD-SOI CMOS with Low Source/Drain Series Resistance 査読有り
Yukihisa NAKAO, Rihito KURODA, Hiroaki TANAKA, Akinobu TERAMOTO, Shigetoshi SUGAWA, Tadahiro OHMI
2010 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 110 (110) 303-308 2010年6月
出版者・発行元: 一般社団法人電子情報通信学会ISSN:0913-5685
-
The Growth of Thin Silicon Oxide and Silicon Nitride Films at Low Temperature (400˚C) and High Growth Rates for Semiconductor Device Fabrication by an Advanced Low Electron Temperature Microwave-Excited High-Density Plasma System 査読有り
Yuji Saito, Katsuyuki Sekine, Ryu Kaihara, Masaki Hirayama, Shigetoshi Sugawa, Herzl Aharoni, Tadahiro Ohmi
IEEE Transactions on Semiconductor Manufacturing 23 (2) 328-339 2010年5月
ISSN:0894-6507
-
Impact of work function optimized S/D silicide contact for high current drivability CMOS 査読有り
Y. Nakao, R. Kuroda, H. Tanaka, T. Isogai, A. Teramoto, S. Sugawa, T. Ohmi
217th Meeting of The Electrochemical Society 0949. 2010年4月
-
Angle-resolved phototelectron study on the structures of silicon nitride films and Si(3)N(4)/Si interfaces formed using nitrogen-hydrogen radicals (vol 104, 114112, 2008) 査読有り
Takashi Aratani, Masaaki Higuchi, Shigetoshi Sugawa, Eiji Ikenaga, Jiro Ushio, Hiroshi Nohira, Tomoyuki Suwa, Akinobu Teramoto, Tadahiro Ohimi, Takeo Hattori
JOURNAL OF APPLIED PHYSICS 107 (6) 2010年3月
DOI: 10.1063/1.3366705
ISSN:0021-8979
-
画素容量・列容量電荷電圧変換を組合せた多重露光線形応答広ダイナミックレンジCMOSイメージセンサ 査読有り
井出典子, 赤羽奈々, 須川成利
映像情報メディア学会誌 64 (3) 335-342 2010年3月
DOI: 10.3169/itej.64.335
-
Novel end-point detection method by monitoring shear force oscillation frequency for barrier metal polishing in advanced LSI
Xun Gu, Takenao Nemoto, Yasa Sampurno, Jiang Cheng, Sian Nie Theng, Ara Philipossian, Yun Zhuang, Akinobu Teramoto, Takashi Ito, Shigetoshi Sugawa, Tadahiro Ohmi
Materials Research Society Symposium Proceedings 1157 157-162 2010年2月
ISSN:0272-9172
-
Experiment and Device Simulation for Photo-Electron Overflow Characteristics on a Pixel-Shared CMOS Image Sensor Using Lateral Overflow Gate 査読有り
Shin Sakai, Yoshiaki Tashiro, Lei Hou, Shigetoshi Sugawa
IS&T / SPIE Electronic Imaging 7536 75360K-1-75360K-8 2010年
DOI: 10.1117/12.838765
ISSN:0277-786X
-
Checker-Pattern and Shared Two Pixels LOFIC CMOS Image Sensors 査読有り
Yoshiaki Tashiro, Shun Kawada, Shin Sakai, Shigetoshi Sugawa
2010 15TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC 2010) 343-344 2010年
DOI: 10.1109/ASPDAC.2010.5419872
-
A CMOS Image Sensor With 2.0-e(-) Random Noise and 110-ke(-) Full Well Capacity Using Column Source Follower Readout Circuits 査読有り
Takahiro Kohara, Woonghee Lee, Koichi Mizobuchi, Shigetoshi Sugawa
2010 15TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC 2010) 345-346 2010年
ISSN:2153-6961
-
Checkered White-RGB Color LOFIC CMOS Image Sensor 査読有り
Shun Kawada, Shin Sakai, Yoshiaki Tashiro, Shigetoshi Sugawa
2010 15TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC 2010) 347-348 2010年
DOI: 10.1109/ASPDAC.2010.5419870
-
A Test Structure for Statistical Evaluation of pn Junction Leakage Current Based on CMOS Image Sensor Technology 査読有り
Kenichi Abe, Takafumi Fujisawa, Hiroyoshi Suzuki, Shunichi Watabe, Rihito Kuroda, Shigetoshi Sugawa, Akinobu Teramoto, Tadahiro Ohmi
2010 INTERNATIONAL CONFERENCE ON MICROELECTRONIC TEST STRUCTURES, 23RD IEEE ICMTS CONFERENCE PROCEEDINGS 18-22 2010年
DOI: 10.1109/ICMTS.2010.5466868
ISSN:1071-9032
-
A Complementary Metal-Oxide-Semiconductor Image Sensor with 2.0 e(-) Random Noise and 110 ke(-) Full Well Capacity and Noise Measurement of Pixel Transistors Using Column Source Follower Readout Circuits 査読有り
Takahiro Kohara, Woonghee Lee, Koichi Mizobuchi, Shigetoshi Sugawa
JAPANESE JOURNAL OF APPLIED PHYSICS 49 (4) 04DE02-1-04DE02-5 2010年
ISSN:0021-4922
-
Pixel Scaling in Complementary Metal Oxide Silicon Image Sensor with Lateral Overflow Integration Capacitor 査読有り
Shin Sakai, Yoshiaki Tashiro, Shun Kawada, Rihito Kuroda, Nana Akahane, Koichi Mizobuchi, Shigetoshi Sugawa
JAPANESE JOURNAL OF APPLIED PHYSICS 49 (4) 04DE03-1-04DE03-6 2010年
ISSN:0021-4922
eISSN:1347-4065
-
White-Red-Green-Blue Lateral Overflow Integration Capacitor Complementary Metal-Oxide-Semiconductor Image Sensor with Color-Independent Exposure and Widely-Spectral High Sensitivity 査読有り
Shun Kawada, Shin Sakai, Yoshiaki Tashiro, Shigetoshi Sugawa
JAPANESE JOURNAL OF APPLIED PHYSICS 49 (4) 04DE04-1-04DE04-4 2010年
ISSN:0021-4922
-
Low Contact Resistivity with Low Silicide/p(+)-Silicon Schottky Barrier for High-Performance p-Channel Metal-Oxide-Silicon Field Effect Transistors 査読有り
Hiroaki Tanaka, Tatsunori Isogai, Tetsuya Goto, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
JAPANESE JOURNAL OF APPLIED PHYSICS 49 (4) 04DA03-1-04DA03-5 2010年
ISSN:0021-4922
-
Analysis of Hundreds of Time Constant Ratios and Amplitudes of Random Telegraph Signal with Very Large Scale Array Test Pattern 査読有り
Takafumi Fujisawa, Kenichi Abe, Shunichi Watabe, Naoto Miyamoto, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
JAPANESE JOURNAL OF APPLIED PHYSICS 49 (4) 04DC06-1-04DC06-5 2010年
ISSN:0021-4922
-
Experimental Investigation of Effect of Channel Doping Concentration on Random Telegraph Signal Noise 査読有り
Kenichi Abe, Akinobu Teramoto, Shunichi Watabe, Takafumi Fujisawa, Shigetoshi Sugawa, Yutaka Kamata, Katsuhiko Shibusawa, Tadahiro Ohmi
JAPANESE JOURNAL OF APPLIED PHYSICS 49 (4) 04DC07-1-04DC07-5 2010年
ISSN:0021-4922
-
Atomically Flattening Technology at 850 ˚C for Si(100) Surface 査読有り
X. Li, T. Suwa, A. Teramoto, R. Kuroda, S. Sugawa, T. Ohmi
ECS Transactions 28 (1) 299-309 2010年
DOI: 10.1149/1.3375615
ISSN:1938-5862
-
Impact of Work Function Optimized S/D Silicide Contact for High Current Drivability CMOS 査読有り
Y. Nakao, R. Kuroda, H. Tanaka, T. Isogai, A. Teramoto, S. Sugawa, T. Ohmi
ECS Transactions 28 (1) 315-324 2010年
DOI: 10.1149/1.3375617
ISSN:1938-5862
-
Atomically Flattening Technology at 850 degrees C for Si(100) Surface 査読有り
X. Li, T. Suwa, A. Teramoto, R. Kuroda, S. Sugawa, T. Ohmi
217th Meeting of The Electrochemical Society 0951 2010年
DOI: 10.1149/1.3375615
ISSN:1938-5862
-
Statistical Evaluation of Dynamic Junction Leakage Current Fluctuation Using a Simple Arrayed Capacitors Circuit 査読有り
Kenichi Abe, Takafumi Fujisawa, Hiroyoshi Suzuki, Shunichi Watabe, Rihito Kuroda, Shigetoshi Sugawa, Akinobu Teramoto, Tadahiro Ohmi
2010 INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM 683-688 2010年
DOI: 10.1109/IRPS.2010.5488751
ISSN:1541-7026
-
Statistical Evaluation for Trap Energy Level of RTS Characteristics 査読有り
A. Teramoto, T. Fujisawa, K. Abe, S. Sugawa, T. Ohmi
2010 SYMPOSIUM ON VLSI TECHNOLOGY, DIGEST OF TECHNICAL PAPERS 99-100 2010年
DOI: 10.1109/VLSIT.2010.5556186
-
Depth Profile of Nitrogen Atoms in Silicon Oxynitride Films Formed by Low-Electron-Temperature Microwave Plasma Nitridation 査読有り
Shigemi Murakawa, Shu-ichi Ishizuka, Toshio Nakanishi, Tomoyuki Suwa, Akinobu Teramoto, Shigetoshi Sugawa, Takeo Hattori, Tadahiro Ohmi
JAPANESE JOURNAL OF APPLIED PHYSICS 49 (9) 091301-1-091301-8 2010年
ISSN:0021-4922
eISSN:1347-4065
-
Evaluation of Narrow Gap Filling Ability in Shallow Trench Isolation by Organosiloxane Sol-Gel Precursor 査読有り
Kohei Watanuki, Atsutoshi Inokuchi, Akinori Banba, Nobuyuki Manabe, Hirokazu Suzuki, Tadashi Koike, Tatsuhiko Adachi, Tetsuya Goto, Akinobu Teramoto, Yasuyuki Shirai, Shigetoshi Sugawa, Tadahiro Ohmi
ECS Transactions 33 (3) 135-143 2010年
DOI: 10.1149/1.3481600
ISSN:1938-5862
-
Dependence of Thermal Decomposition of Metal Organic Gases on Metal Surface for Gas Distribution System 査読有り
S. Yamashita, K. Watanuki, H. Ishii, Y. Shiba, M. Kitano, Y. Shirai, S. Sugawa, T. Ohmi
ECS Transactions 33 (13) 121-128 2010年
DOI: 10.1149/1.3485612
ISSN:1938-5862
-
Ultra-low Series Resistance W/ErSi2/n(+)-Si and W/Pd2Si/p(+)-Si S/D Electrodes for Advanced CMOS Platform 査読有り
Rihito Kuroda, Hiroaki Tanaka, Yukihisa Nakao, Akinobu Teramoto, Naoto Miyamoto, Shigetoshi Sugawa, Tadahiro Ohmi
2010 INTERNATIONAL ELECTRON DEVICES MEETING - TECHNICAL DIGEST 580-583 2010年
DOI: 10.1109/IEDM.2010.5703425
ISSN:2380-9248
-
Qualification of Dynamic Pressure Distribution on Wafer by Pressure Sensing Sheet during Polishing 査読有り
Xun Gu, Takenao Nemoto, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
International Conference on Planarization/CMP Technology 2009 22-27 2009年11月
-
Highly Accurate Management in Dynamically Changing Fab 査読有り
Kazunori Imaoka, Yoshihiro Ishii, Tsuyoshi Kikuchi, Shigetoshi Sugawa, Akio Nagahira
IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING 22 (4) 482-490 2009年11月
ISSN:0894-6507
eISSN:1558-2345
-
A 1.9 e(-) Random Noise CMOS Image Sensor With Active Feedback Operation in Each Pixel 査読有り
Woonghee Lee, Nana Akahane, Satoru Adachi, Koichi Mizobuchi, Shigetoshi Sugawa
IEEE TRANSACTIONS ON ELECTRON DEVICES 56 (11) 2436-2445 2009年11月
ISSN:0018-9383
-
Optimum Design of Conversion Gain and Full Well Capacity in CMOS Image Sensor With Lateral Overflow Integration Capacitor 査読有り
Nana Akahane, Satoru Adachi, Koichi Mizobuchi, Shigetoshi Sugawa
IEEE TRANSACTIONS ON ELECTRON DEVICES 56 (11) 2429-2435 2009年11月
ISSN:0018-9383
-
Three-Dimensional Wave Optical Simulation for Image Sensors by Localized Boundary Element Method 査読有り
Hideki Mutoh, Shigetoshi Sugawa
IEEE TRANSACTIONS ON ELECTRON DEVICES 56 (11) 2473-2480 2009年11月
ISSN:0018-9383
-
The Study of Electrical and Structual Properties of SiO2 Film Containing Metal oxide using Organosiloxane-based Silica Precursor 査読有り
K. WATANUKI, A. INOKUCHI, A. BAMBA, H. SUZUKI, T. KOIKE, T. ADACHI, A. TERAMOTO, Y. SHIRAI, S. SUGAWA, T. OHMI
AVS 56th International Symposium & Exhibition 81 2009年11月
-
Pixel Scaling in CMOS Image Sensors with Lateral Overflow Integration Capacitor 査読有り
Yoshiaki Tashiro, Shin Sakai, Shun Kawada, Rihito Kuroda, Nana Akahane, Koichi Mizobuchi, Shigetoshi Sugawa
International Conference on Solid State Devices and Materials 1062-1063 2009年10月
-
WRGB LOFIC CMOS Image Sensor with Color-Independent Exposure and Widely-Spectral High Sensitivity 査読有り
Shun Kawada, Shin Sakai, Yoshiaki Tashiro, Shigetoshi Sugawa
International Conference on Solid State Devices and Materials 1064-1065 2009年10月
-
Random Telegraph Signal and Flicker Noise in CMOS Image Sensor using Column Source Follower Readout Circuits 査読有り
Takahiro Kohara, Woonghee Lee, Koichi Mizobuchi, Shigetoshi Sugawa
International Conference on Solid State Devices and Materials 1074-1075 2009年10月
-
Statistical Analysis of Time Constant Ratio of Random Telegraph Signal with Very Large-Scale Array TEG 査読有り
T. Fujisawa, K. Abe, S. Watabe, N. Miyamoto, A. Teramoto, S. Sugawa, T. Ohmi
International Conference on Solid State Devices and Materials 28-29 2009年10月
-
Impact of Channel Doping Concentration on Random Telegraph Signal Noise 査読有り
K. Abe, A. Teramoto, S. Watabe, T. Fujisawa, S. Sugawa, H. Kamata, K. Shibusawa, T. Ohmi
International Conference on Solid State Devices and Materials 30-31 2009年10月
-
Impact of Very Low Series Resistance due to Raised Metal S/D Structure with Very Low Contact Resistance Silicide for sub-100-nm nMOSFET 査読有り
Rihito Kuroda, Tatsunori Isogai, Hiroaki Tanaka, Yukihisa Nakao, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
International Conference on Solid State Devices and Materials 994-995 2009年10月
-
Suppression of Vth Variability for n-MOSFET in Dual Oxide Formation Process 査読有り
H. Kamata, K. Shibusawa, K. Abe, S. Sugawa, A. Teramoto, T. Ohmi
International Conference on Solid State Devices and Materials 378-379 2009年10月
-
Low Contact Resistance with Low Silicide/p+-Silicon Schottky Barrier for High Performance p-channel MOSFETs 査読有り
Hiroaki Tanaka, Tatsunori Isogai, Tetsuya Goto, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
International Conference on Solid State Devices and Materials 323-333 2009年10月
-
Improving the Performance of MOSFET’s through their Exposure to Hydrogen Radicals/Inert Gas Plasma Mixture 査読有り
Yuji Saito, Hiroshi Takahashi, Kazuo Ohtsubo, Masaki Hirayama, Shigetoshi Sugawa, Herzl Aharoni, Tadahiro Ohmi
28th ISRAEL VACUUM SOCIETY ANNUAL CONFERENCE AND TECHNICAL WORKSHOP P-SU-10 2009年10月
-
The Growth of Polyoxide Thin Films at 400oC by Oxygen Radicals 査読有り
Tatsufumi Hamada, Yuji Saito, Geun-Min Choi, Shigetoshi Sugawa, Herzl Aharoni, Tadahiro Ohmi
28th ISRAEL VACUUM SOCIETY ANNUAL CONFERENCE AND TECHNICAL WORKSHOP P-SU-11 2009年10月
-
フラッシュメモリーにおける新しい信頼性評価技術 査読有り
須川成利
応用物理 78 (9) 897-901 2009年9月
-
Mobile-Ion-Induced Charge Loss Failure in Silicon-Oxide-Nitride-Oxide-Silicon Two-Bit Storage Flash Memory 査読有り
Kazunori Imaoka, Masahiko Higashi, Hidehiko Shiraiwa, Fumihiko Inoue, Tatsuya Kajita, Shigetoshi Sugawa
JAPANESE JOURNAL OF APPLIED PHYSICS 48 (6) 066510-1-066510-6 2009年6月
ISSN:0021-4922
-
4.5um Pixel Pitch 154 ke- Full Well Capacity CMOS Image Sensor 査読有り
Koichi Mizobuchi, Satoru Adachi, Hirokazu Sawada, Katsumi Ohta, Hiromichi Oshikubo, Nana Akahane, Shigetoshi Sugawa
International Image Sensor Workshop 101-104 2009年6月
-
High-Frequency Propagation on Printed Circuit Board Using a Material With a Low Dielectric Constant, a Low Dielectric Loss, and a Flat Surface 査読有り
Hiroshi Imai, Masahiko Sugimura, Masafumi Kawasaki, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
IEEE TRANSACTIONS ON COMPONENTS AND PACKAGING TECHNOLOGIES 32 (2) 415-423 2009年6月
DOI: 10.1109/TCAPT.2008.2004791
ISSN:1521-3331
-
A Statistical Analysis of Distributions of RTS Characteristics by Wide-Range Sampling Frequencies 査読有り
Kenichi Abe, Takafumi Fujisawa, Akinobu Teramoto, Shunichi Watabe, Shigetoshi Sugawa, Tadahiro Ohmi
2009 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 1A.8 2009年6月
-
MOS Transistors fabricated on Si(551) surface based on radical reaction processes 査読有り
A. Teramoto, W. Cheng, C.F. Tye, S. Sugawa, T. Ohmi
2009 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 109 (98) 2B.2-152 2009年6月
出版者・発行元: 一般社団法人電子情報通信学会ISSN:0913-5685
-
Study on Compositional Transition Layers at Gate Dielectrics/Si Interface by using Angle-resolved X-ray Photoelectron 査読有り
Tomoyuki SUWA, Takashi ARATANI, Masaaki HIGUCHI, Shigetoshi SUGAWA, Eiji IKENAGA, Jiro USHIO, Hiroshi NOHIRA, Akinobu TERAMOTO, Tadahiro OHMI, Takeo HATTORI
2009 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor 109 (98) 2B-7-160 2009年6月
出版者・発行元: 一般社団法人電子情報通信学会ISSN:0913-5685
-
Reduction of Scratch on Brush Scrubbing in Post CMP Cleaning by Analyzing Contact Kinetics on Ultra Low-k Dielectric 査読有り
X. Gu, T. Nemoto, A. Teramoto, T. Ito, S. Sugawa, T. Ohmi
215th Meeting of The Electrochemical Society 0744 2009年5月
-
Different Types of Degradation and Recovery Mechanisms on NBT Stress for Thin SiO2 Films by On-the-Fly Measurement 査読有り
A. Teramoto, R. Kuroda, T. Suko, M. Sato, T. Tsuboi, S. Sugawa, T. Ohmi
215th Meeting of The Electrochemical Society 796 2009年5月
-
Anomalous Random Telegraph Signal Extractions from a Very Large Number of n-Metal Oxide Semiconductor Field-Effect Transistors Using Test Element Groups with 0.47 Hz-3.0 MHz Sampling Frequency 査読有り
Kenichi Abe, Takafumi Fujisawa, Akinobu Teramoto, Shunichi Watabe, Shigetoshi Sugawa, Tadahiro Ohmi
JAPANESE JOURNAL OF APPLIED PHYSICS 48 (4) 04C044-1-04C044-5 2009年4月
ISSN:0021-4922
-
A Study on Very High Performance Novel Balanced Fully Depleted Silicon-on-Insulator Complementary Metal-Oxide-Semiconductor Field-Effect Transistors on Si(110) Using Accumulation-Mode Device Structure for Radio-Frequency Analog Circuits 査読有り
Weitao Cheng, Akinobu Teramoto, ChingFoa Tye, Rihito Kuroda, Shigetoshi Sugawa, Tadahiro Ohmi
JAPANESE JOURNAL OF APPLIED PHYSICS 48 (4) 04C047-1-04C047-4 2009年4月
ISSN:0021-4922
-
Complementary Metal-Oxide-Silicon Field-Effect-Transistors Featuring Atomically Flat Gate Insulator Film/Silicon Interface 査読有り
Rihito Kuroda, Akinobu Teramoto, Yukihisa Nakao, Tomoyuki Suwa, Masahiro Konda, Rui Hasebe, Xiang Li, Tatsunori Isogai, Hiroaki Tanaka, Shigetoshi Sugawa, Tadahiro Ohmi
JAPANESE JOURNAL OF APPLIED PHYSICS 48 (4) 04C048-1-04C048-6 2009年4月
ISSN:0021-4922
eISSN:1347-4065
-
Impact of Tungsten Capping Layer on Yttrium Silicide for Low-Resistance n(+)-Source/Drain Contacts 査読有り
Tatsunori Isogai, Hiroaki Tanaka, Tetsuya Goto, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
JAPANESE JOURNAL OF APPLIED PHYSICS 48 (4) 04C046-1-04C046-5 2009年4月
ISSN:0021-4922
eISSN:1347-4065
-
Characterization for High-Performance CMOS Using In-Wafer Advanced Kelvin-Contact Device Structure 査読有り
Rihito Kuroda, Akinobu Teramoto, Takanori Komuro, Shigetoshi Sugawa, Tadahiro Ohmi
IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING 22 (1) 126-133 2009年2月
ISSN:0894-6507
eISSN:1558-2345
-
Atomically Flat Silicon Surface and Silicon/Insulator Interface Formation Technologies for (100) Surface Orientation Large-Diameter Wafers Introducing High Performance and Low-Noise Metal-Insulator-Silicon FETs 査読有り
Rihito Kuroda, Tomoyuki Suwa, Akinobu Teramoto, Rui Hasebe, Shigetoshi Sugawa, Tadahiro Ohmi
IEEE TRANSACTIONS ON ELECTRON DEVICES 56 (2) 291-298 2009年2月
ISSN:0018-9383
eISSN:1557-9646
-
Suppression of 1/f Noise in Accumulation Mode FD-SOI MOSFETs on Si(100) and (110) Surfaces 査読有り
W. Cheng, C. Tye, P. Gaubert, A. Teramoto, S. Sugawa, T. Ohmi
NOISE AND FLUCTUATIONS 1129 337-+ 2009年
ISSN:0094-243X
-
Three-step room temperature wet cleaning process for silicon substrate
Rui Hasebe, Akinobu Teramoto, Tomoyuki Suwa, Rihito Kuroda, Shigetoshi Sugawa, Tadahiro Ohmi
Solid State Phenomena 145-146 189-192 2009年1月1日
DOI: 10.4028/www.scientific.net/SSP.145-146.189
ISSN:1012-0394
-
Three-Step Room-Temperature Cleaning of Bare Silicon Surface for Radical-Reaction-Based Semiconductor Manufacturing 査読有り
Rui Hasebe, Akinobu Teramoto, Rihito Kuroda, Tomoyuki Suwa, Shigetoshi Sugawa, Tadahiro Ohmi
JOURNAL OF THE ELECTROCHEMICAL SOCIETY 156 (1) H10-H17 2009年
DOI: 10.1149/1.2993153
ISSN:0013-4651
eISSN:1945-7111
-
Stress-induced leakage current and random telegraph signal 査読有り
Akinobu Teramoto, Yuki Kumagai, Kenichi Abe, Takafumi Fujisawa, Shunichi Watabe, Tomoyuki Suwa, Naoto Miyamoto, Shigetoshi Sugawa, Tadahiro Ohmi
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B 27 (1) 435-438 2009年1月
DOI: 10.1116/1.3054269
ISSN:1071-1023
-
Different Types of Degradation and Recovery Mechanisms on NBT Stress for Thin SiO2 Films by On-the-Fly Measurement 査読有り
A. Teramoto, R. Kuroda, T. Suko, M. Sato, T. Tsuboi, S. Sugawa, T. Ohmi
ECS Transactions 19 (2) 339-350 2009年
DOI: 10.1149/1.3122100
ISSN:1938-5862
-
Accurate Time Constant of Random Telegraph Signal Extracted by a Sufficient Long Time Measurement in Very Large-Scale Array TEG 査読有り
T. Fujisawa, K. Abe, S. Watabe, N. Miyamoto, A. Teramoto, S. Sugawa, T. Ohmi
ICMTS 2009: 2009 IEEE INTERNATIONAL CONFERENCE ON MICROELECTRONIC TEST STRUCTURES 19-24 2009年
DOI: 10.1109/ICMTS.2009.4814601
-
Advanced Method for Measuring Ultra-Low Contact Resistivity Between Silicide and Silicon Based on Cross Bridge Kelvin Resistor 査読有り
T. Isogai, H. Tanaka, A. Teramoto, T. Goto, S. Sugawa, T. Ohmi
ICMTS 2009: 2009 IEEE INTERNATIONAL CONFERENCE ON MICROELECTRONIC TEST STRUCTURES 109-113 2009年
DOI: 10.1109/ICMTS.2009.4814621
-
A Test Structure for Statistical Evaluation of Characteristics Variability in a Very Large Number of MOSFETs 査読有り
S. Watabe, S. Sugawa, K. Abe, T. Fujisawa, N. Miyamoto, A. Teramoto, T. Ohmi
ICMTS 2009: 2009 IEEE INTERNATIONAL CONFERENCE ON MICROELECTRONIC TEST STRUCTURES 114-118 2009年
DOI: 10.1109/ICMTS.2009.4814622
-
Asymmetry of RTS Characteristics along Source-Drain Direction and Statistical Analysis of Process-Induced RTS 査読有り
Kenichi Abe, Yuki Kumagai, Shigetoshi Sugawa, Shunichi Watabe, Takafumi Fujisawa, Akinobu Teramoto, Tadahiro Ohmi
2009 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM, VOLS 1 AND 2 996-1001 2009年
DOI: 10.1109/IRPS.2009.5173398
-
A Color-Independent Saturation, Linear Response, Wide Dynamic Range CMOS Image Sensor With Retinal Rod- and Cone-like Color Pixels 査読有り
Shun Kawada, Shin Sakai, Nana Akahane, Koichi Mizobuchi, Shigetoshi Sugawa
2009 SYMPOSIUM ON VLSI CIRCUITS, DIGEST OF TECHNICAL PAPERS 180-181 2009年
-
A CMOS Image Sensor With 2.5-e(-) Random Noise and 110-ke(-) Full Well Capacity Using Column Source Follower Readout Circuits 査読有り
Takahiro Kohara, Woonghee Lee, Nana Akahane, Koichi Mizobuchi, Shigetoshi Sugawa
2009 SYMPOSIUM ON VLSI CIRCUITS, DIGEST OF TECHNICAL PAPERS 182-183 2009年
-
Reduction of scratch on brush scrubbing in post CMP cleaning by analyzing contact kinetics on ultra low-k dielectric 査読有り
Xun Gu, Takenao Nemoto, Akinobu Teramoto, Takashi Ito, Shigetoshi Sugawa, Tadahiro Ohmi
ECS Transactions 19 (7) 103-109 2009年
DOI: 10.1149/1.3123779
ISSN:1938-5862 1938-6737
-
A Pixel-Shared CMOS Image Sensor Using Lateral Overflow Gate 査読有り
Shin Sakai, Yoshiaki Tashiro, Nana Akahane, Rihito Kuroda, Koichi Mizobuchi, Shigetoshi Sugawa
2009 PROCEEDINGS OF ESSCIRC 240-243 2009年
DOI: 10.1109/ESSCIRC.2009.5326026
ISSN:1930-8833
-
A Wide Dynamic Range Checkered-Color CMOS Image Sensor with IR-Cut RGB and Visible-to-Near-IR Pixels 査読有り
Shun Kawada, Shin Sakai, Nana Akahane, Rihito Kuroda, Shigetoshi Sugawa
2009 IEEE SENSORS, VOLS 1-3 1648-1651 2009年
DOI: 10.1109/ICSENS.2009.5398511
-
Three-Step Room Temperature Cleaning of Bare Silicon Surface for Radical Based Semiconductor Manufacturing 査読有り
Rui Hasebe, Akinobu Teramoto, Rihito Kuroda, Tomoyuki Suwa, Shigetoshi Sugawa, Tadahiro Ohmi
The Meeting of the Electrochemical Society, Pacific Rim Meeting on electrochemical and Solid-State Science 1846 2008年10月
-
Accurate negative bias temperature instability lifetime prediction based on hole injection 査読有り
Akinobu Teramoto, Rihito Kuroda, Shigetoshi Sugawa, Tadahiro Ohmi
MICROELECTRONICS RELIABILITY 48 (10) 1649-1654 2008年10月
DOI: 10.1016/j.microrel.2008.07.062
ISSN:0026-2714
-
Highly Accurate Management in the Dynamically Changing Fab 査読有り
Imaoka, K, Ishii Y, Kikuchi T, Sugawa S, Nagahira A
IEEE International Symposium on Semiconductor Manufacturing 33-36 2008年10月
-
Research and development evaluation at an early stage Using the Analytic Hierarchy Process (AHP) 査読有り
H. Kamoda, S. Sugawa
The 4th IEEE International Conference on Management of Innovation and Technology 1444-1449 2008年9月
-
The Dynamic Range Enhancement Technology for CMOS Image Sensors 招待有り 査読有り
S. Sugawa, N. Akahane, S. Adachi, K. Mizobuchi
International Conference on Solid State Devices and Materials 276-277 2008年9月
-
A Study on Very High Performance Novel Balanced FD-SOI CMOSFETs on Si(110) Using Accumulaton Mode Device Structure for RF Analog Circuits 査読有り
W. Cheng, A. Teramoto, C. Tye, R. Kuroda, S. Sugawa, T. Ohmi
International Conference on Solid State Devices and Materials 876-877 2008年9月
-
CMOSFET Featuring Atomically Flat Gate Insulator Film / Silicon Interface on (100) Orientation Surface 査読有り
R. Kuroda, A. Teramoto, T. Suwa, Y. Nakao, S. Sugawa, T. Ohmi
International Conference on Solid State Devices and Materials 706-707 2008年9月
-
Anomalous RTS Extractions from a Very Large Number of n-MOSFETs using TEG with 0.47Hz - 3.0MHz Sampling Frequency 査読有り
K. Abe, T. Fujisawa, A. Teramoto, S. Watabe, S. Sugawa, T. Ohmi
International Conference on Solid State Devices and Materials 888-889 2008年9月
-
Impact of Tungsten Capping Layer on Yttrium Silicide for Low Resistance Source / Drain Contacts 査読有り
T. Isogai, H. Tanaka, T. Goto, A. Teramoto, S. Sugawa, T.Ohmi
International Conference on Solid State Devices and Materials 446-447 2008年9月
-
3-step room temperature wet cleaning process for silicon substrate 査読有り
R. Hasebe, A. Teramoto, R. Kuroda, T. Suwa, S. Sugawa, T. Ohmi
International Symposium on Ultra Clean Procesing of Semiconductor Surface 112-113 2008年9月
-
A very low dark current temperature-resistant, wide dynamic range, complementary metal oxide semiconductor image sensor 査読有り
Koichi Mizobuchi, Satoru Adachi, Jose Tejada, Hiromichi Oshikubo, Nana Akahane, Shigetoshi Sugawa
JAPANESE JOURNAL OF APPLIED PHYSICS 47 (7) 5390-5395 2008年7月
DOI: 10.1143/JJAP.47.5390
ISSN:0021-4922
-
Evaluation of Si(3)N(4)/Si interface by UV Raman spectroscopy 査読有り
A. Ogura, T. Yoshida, D. Kosemura, Y. Kakemura, T. Aratani, M. Higuchi, S. Sugawa, A. Teramoto, T. Ohmi, T. Hattori
APPLIED SURFACE SCIENCE 254 (19) 6229-6231 2008年7月
DOI: 10.1016/j.apsusc.2008.02.151
ISSN:0169-4332
-
A wide DR and linear response CMOS image sensor with three photocurrent integrations in photodiodes, lateral overflow capacitors, and column capacitors 査読有り
Noriko Ide, Woonghee Lee, Nana Akahane, Shigetoshi Sugawa
IEEE JOURNAL OF SOLID-STATE CIRCUITS 43 (7) 1577-1587 2008年7月
ISSN:0018-9200
-
A Material of Semiconductor Package with Low Dielectric Constant, Low Dielectric Loss and Flat Surface for High Frequency and Low Power Propagation 査読有り
H. Imai, M. Sugimura, M. Kawasaki, A. Teramoto, S. Sugawa, T. Ohmi
Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 108 (122) 47-51 2008年7月
出版者・発行元: 一般社団法人電子情報通信学会ISSN:0913-5685
-
Nitrogen profile study for SiON gate dielectrics of advanced dynamic random access memory 査読有り
Shigemi Murakawa, Masashi Takeuchi, Minoru Honda, Shu-ichi Ishizuka, Toshio Nakanishi, Yoshihiro Hirota, Takuya Sugawara, Yoshitsugu Tanaka, Yasushi Akasaka, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
JAPANESE JOURNAL OF APPLIED PHYSICS 47 (7) 5380-5384 2008年7月
DOI: 10.1143/JJAP.47.5380
ISSN:0021-4922
-
Imapact of Performance and Reliability Boosters in Novel FD-SOI CMOS Devices on Si(110) Surface for Analog Applications 査読有り
W. Cheng, A. Teramoto, R. Kuroda, C. Tye, S. Watabe, S. Sugawa, T. Ohmi
International Conference on the Physics of Semiconductors 602-603 2008年7月
-
A Novel Simply Model of Roughness Induced Power Consumption for GHz Propagation on Printed Circuit Board 査読有り
Hiroshi Imai, Akinobu Teramoto, Masahiko Sugimura, Masafumi Kawasaki, Shigetoshi Sugawa, Tadahiro Ohmi
International Conference on Electronics Packaging 2008 130-133 2008年6月
-
Stress Induced Leakage Current and Random Telegraph Signal 査読有り
A. Teramoto, Y. Kumagai, K. Abe, T. Fujisawa, S. Watabe, T. Suwa, N. Miyamoto, S. Sugawa, T.Ohmi
Workshop on Dielectrics in Microelectronics 31-32 2008年6月
-
A 200-mu V/e(-) CMOS image sensor with 100-ke(-) full well capacity 査読有り
Satoru Adachi, Woonghee Lee, Nana Akahane, Hiromichi Oshikubo, Koichi Mizobuchi, Shigetoshi Sugawa
IEEE JOURNAL OF SOLID-STATE CIRCUITS 43 (4) 823-830 2008年4月
ISSN:0018-9200
-
High sensitivity dynamic range enhanced complementary metal-oxide-semiconductor imager with noise suppression 査読有り
Satoru Adachi, Woonghee Lee, Nana Akahane, Hiromichi Oshikubo, Koichi Mizobuchi, Shigetoshi Sugawa
JAPANESE JOURNAL OF APPLIED PHYSICS 47 (4) 2761-2766 2008年4月
DOI: 10.1143/JJAP.47.2761
ISSN:0021-4922
-
Performance comparison of ultrathin fully depleted silicon-on-insulator inversion-, intrinsic-, and accumulation-mode metal-oxide-semiconductor field-effect transistors 査読有り
Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
JAPANESE JOURNAL OF APPLIED PHYSICS 47 (4) 2668-2671 2008年4月
DOI: 10.1143/JJAP.47.2668
ISSN:0021-4922
eISSN:1347-4065
-
Formation and property of yttrium and yttrium silicide films as low Schottcky barrier material for n-type silicon 査読有り
Tatsunori Isogai, Hiroaki Tanaka, Tetsuya Goto, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
JAPANESE JOURNAL OF APPLIED PHYSICS 47 (4) 3138-3141 2008年4月
DOI: 10.1143/JJAP.47.3138
ISSN:0021-4922
eISSN:1347-4065
-
Tantalum Nitride Formation in Xe Inert Gas on Ultra Low k Dielectric for Cu Interconnects on 45nm LSI and beyond 査読有り
Takenao Nemoto, Gu Xun, Hiroshi Imai, Akinobu Teramoto, Takashi Ito, Shigetoshi Sugawa, Tadahiro Ohmi
Material Research Society 2008 Spring Meeting 2008年3月
-
The Cleaning Method Which is Able to Keep the Smoothness of Si (100) 査読有り
Xiang Li, Xun Gu, Akinobu Teramoto, Rihito Kuroda, Rui Hasebe, Tomoyuki Suwa, Ningmei Yu, Shigetoshi Sugawa, Takashi Ito, Tadahiro Ohmi
International Semiconducotor Technology Conference PV 2008-1 469-474 2008年3月
-
Wide Dynamic Range on System Level 招待有り 査読有り
Koichi Mizobuchi, Shigetoshi Sugawa
International Solid State Circuits Conference Imager Design Forum 2008年2月
-
局所境界要素法によるイメージセンサの3次元波動光学シミュレーション 査読有り
武藤秀樹, 須川成利
映像情報メディア学会誌 62 (8) 1319-1325 2008年
DOI: 10.3169/itej.62.1319
-
高温下の耐性・撮像性能を改善した広ダイナミックレンジCMOSイメージセンサ 査読有り
溝渕孝一, 足立理, 山下友和, 岡村誠一郎, 押久保弘道, 赤羽奈々, 須川成利
映像情報メディア学会誌 62 (3) 368-375 2008年
DOI: 10.3169/itej.62.368
-
A 800(H) x 600(V) high sensitivity and high full well capacity CMOS image sensor with active pixel readout feedback operation 査読有り
Woonghee Lee, Nana Akahane, Satoru Adachi, Koichi Mizobuchi, Shigetoshi Sugawa
SPIE Electronic Imaging Science and Technology 6816 68160R-1-68160R-8 2008年
ISSN:0277-786X
-
Angle-resolved photoelectron study on the structures of silicon nitride films and Si3N4 /Si interfaces formed using nitrogen-hydrogen radicals
T. Aratani, M. Higuchi, S. Sugawa, E. Ikenaga, J. Ushio, H. Nohira, T. Suwa, A. Teramoto, T. Ohmi, T. Hattori
JOURNAL OF APPLIED PHYSICS vol. 104 (No. 11) 114112-1 - 114112-8 2008年
DOI: 10.1063/1.3002418
ISSN:0021-8979
eISSN:1089-7550
-
13.56 and 100 MHz Coupled Mode Rf-Sputtering for Ferroelectric Sr2(Ta1-x,Nbx)2O7 (STN) Film Applied to One-Transistor Type Ferroelectric Random Access Memory 査読有り
Ichirou Takahashi, Masaki Hirayama, Yasuyuki Shirai, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
FERROELECTRICS 368 328-333 2008年
DOI: 10.1080/00150190802368008
ISSN:0015-0193
eISSN:1563-5112
-
An optimum design of the LOFIC CMOS image sensor for high sensitivity, low noise and high full well capacity 査読有り
Nana Akahane, Woonghee Lee, Shigetoshi Sugawa
SPIE Electronic Imaging Science and Technology 6817 681702-1-681702-8 2008年
DOI: 10.1117/12.765649
ISSN:0277-786X
-
A low-noise wide dynamic range CMOS image sensor with low and high temperatures resistance 査読有り
Koichi Mizobuchi, Satoru Adachi, Jose Tejada, Hiromichi Oshikubo, Nana Akahane, Shigetoshi Sugawa
6816 681604-1-681604-8 2008年
DOI: 10.1117/12.765871
ISSN:0277-786X
-
A linear response 200-dB dynamic range CMOS image sensor with multiple voltage and current readout operations 査読有り
Noriko Ide, Nana Akahane, Shigetoshi Sugawa
SPIE Electronic Imaging Science and Technology 6816 681605-1-681605-8 2008年
DOI: 10.1117/12.767109
ISSN:0277-786X
-
Damage-free microwave-excited plasma etching without carrier deactivation of heavily doped Si under thin silicide layer 査読有り
Tetsuya Goto, Kazuyuki Ikenaga, Akinobu Teramoto, Masaki Hirayama, Shigetoshi Sugawa, Tadahiro Ohmi
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A 26 (1) 8-16 2008年1月
DOI: 10.1116/1.2804424
ISSN:0734-2101
eISSN:1520-8559
-
Characterization of MOSFETs intrinsic performance using in-wafer advanced Kelvin-contact device structure for high performance CMOS LSIs 査読有り
Rihito Kuroda, Akinobu Teramoto, Takanori Komuro, Weitao Cheng, Syunichi Watabe, Ching Foa Tye, Shigetoshi Sugawa, Tadahiro Ohmi
2008 IEEE INTERNATIONAL CONFERENCE ON MICROELECTRONIC TEST STRUCTURES, CONFERENCE PROCEEDINGS 155-159 2008年
DOI: 10.1109/ICMTS.2008.4509331
ISSN:1071-9032
-
Statistical evaluation for anomalous SILC of tunnel oxide using integrated array TEG 査読有り
Yuki Kumagai, Akinobu Teramoto, Shigetoshi Sugawa, Tomoyuki Suwa, Tadahiro Ohmi
2008 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM PROCEEDINGS - 46TH ANNUAL 219-224 2008年
DOI: 10.1109/RELPHY.2008.4558890
ISSN:1541-7026
-
New insulation material with flat-surface, low coefficient of thermal expansion, low-dielectric-loss for next generation semiconductor packages 査読有り
M. Sugimura, H. Imai, M. Kawasaki, K. Kamata, K. Fujii, Y. Fujito, S. Yonehara, A. Teramoto, S. Sugawa, T. Ohmi
58TH ELECTRONIC COMPONENTS & TECHNOLOGY CONFERENCE, PROCEEDINGS 747-752 2008年
DOI: 10.1109/ECTC.2008.4550057
ISSN:0569-5503
-
Tantalum nitride sputtering deposition with Xe on fluorocarbon for Cu interconnects 査読有り
Takenao Nemoto, Hiroshi Imai, Akinobu Teramoto, Takashi Ito, Shigetoshi Sugawa, Tadahiro Ohmia
JOURNAL OF THE ELECTROCHEMICAL SOCIETY 155 (5) H323-H328 2008年
DOI: 10.1149/1.2883736
ISSN:0013-4651
-
Atomically Flat Gate Insulator/Silicon (100) Interface Formation Introducing High Mobility, Ultra-low Noise, and Small Characteristics Variation CMOSFET 査読有り
R. Kuroda, A. Teramoto, T. Suwa, R. Hasebe, X. Li, M. Konda, S. Sugawa, T. Ohmi
ESSDERC 2008: PROCEEDINGS OF THE 38TH EUROPEAN SOLID-STATE DEVICE RESEARCH CONFERENCE 83-86 2008年
DOI: 10.1109/ESSDERC.2008.4681704
ISSN:1930-8876
-
A CMOS Image Sensor Extracting Color Image Feature Values for Object Categorization System 査読有り
T. Kubo, S. Sugawa
Proceedings of IEEE Sensors 949-952 2008年
DOI: 10.1109/ICSENS.2008.4716598
-
High Growth Rate of SiO2 Thin Films at Low temperature (400C) for Silicon Based Microelectronic Devices Using Plasma Techniques 査読有り
Y. Saito, K. Sekine, R. Kaihara, M. Hirayama, S. Sugawa, H. Aharoni, T. Ohmi
Israel Vacuum Society Annual Conference and Technical Workshop P-ED-7 2007年10月
-
Imprint properties of IrO2/Sr2(Ta1-x,Nbx)2O7/SiO2/Si structure device formed by rf sputtering and oxygen radical treatment 査読有り
Ichiro Takahashi, Shigetoshi Sugawa, Tadahiro Ohmi
2007 European Materials Research Society Fall Meeting (EMRS 2007) 306 2007年9月
-
High Sensitivity Dynamic Range Enhanced CMOS Imager with Noise Suppression 査読有り
S. Adachi, W. Lee, N. Akahane, H. Oshikubo, K. Mizobuchi, S. Sugawa
2007 International Conference on Solid State Devices and Materials 2007 1060-1061 2007年9月
-
Low Contact Resistance with Low Schottky Barrier for N-type Silicon Using Yttrium Silicide 査読有り
T. Isogai, H. Tanaka, T. Goto, A. Teramoto, S. Sugawa, T. Ohmi
2007 International conferance on solod state devices and materials 206-207 2007年9月
-
Performance Comparison of Ultra-thin FD-SOI Inversion-, Intrinsic- and Accumulation-Mode MOSFETs 査読有り
R. Kuroda, A. Teramoto, S. Sugawa, T. Ohmi
2007 International conferance on solod state devices and materials 412-413 2007年9月
-
Nitrogen Profile Study for SiON Gate Dielectrics of Advanced DRAM 査読有り
S. Murakawa, M. Takeuchi, M. Honda, S. Ishizuka, T. Nakanishi, Y. Hirota, T. Sugawara, Y. Tanaka, Y. Akasaka, A. Teramoto, S. Sugawa, T. Ohmi
2007 International conference on solod state devices and materials 107 (245) 1150-1151 2007年9月
出版者・発行元: 一般社団法人電子情報通信学会ISSN:0913-5685
-
Microwave-Excited Plasma Enhanced Metal-Organic Chemical Vapor Deposition with Ion-Bombardment-Assistance for Forming Ferroelectric Sr2(Ta1-x,Nbx)2O7 (STN) Thin Film 査読有り
Ichirou Takahashi, Masaki Hirayama, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
Extended Abstracts of International Conference on Electroceramics (ICE2007) 92-93 2007年7月
-
Circuit level prediction of device performance degradation due to negative bias temperature stress 査読有り
Rihito Kuroda, Akinobu Teramoto, Kazufumi Watanabe, Michihiko Mifuji, Takahisa Yamaha, Shigetoshi Sugawa, Tadahiro Ohmi
MICROELECTRONICS RELIABILITY 47 (6) 930-936 2007年6月
DOI: 10.1016/j.microrel.2006.06.013
ISSN:0026-2714
-
Analysis of Source Follower Random Telegraph Signal Using nMOS and pMOS Array TEG 査読有り
K. Abe, S. Sugawa, R. Kuroda, S. Watabe, N. Miyamoto, A. Teramoto, T. Ohmi, Y. Kamata, K. Shibusawa
2007 International Image Sensor Workshop 62-65 2007年6月
-
3-D Wave Optical Simulation of Light Wave-guide Structures by Localized Boundary Element Method 査読有り
Hideki Mutoh, Shigetoshi Sugawa
2007 International Image Sensor Workshop 141-144 2007年6月
-
Analog Readout Circuitry for Wide-Dynamic Range CMOS image sensors 査読有り
Jose Tejada, Hirokazu Sawada, Shigetoshi Sugawa, Nana Akahane
2007 International Image Sensor Workshop 94-97 2007年6月
-
A Wide Dynamic Range CMOS Image Sensor with Resistance to High Temperatures 査読有り
Koichi Mizobuchi, Satoru Adachi, Tomokazu Yamashita, Seiichiro Okamura, Hiromichi Oshikubo, Nana Akahane, Shigetoshi Sugawa
International Image Sensor Workshop 26-29 2007年6月
-
Very high carrier mobility for high-performance CMOS on a Si(110) surface 査読有り
Akinobu Teramoto, Tatsufumi Hamada, Masashi Yamamoto, Philippe Gaubert, Hiroshi Akahori, Keiichi Nii, Masaki Hirayama, Kenta Arima, Katsuyoshi Endo, Shigetoshi Sugawa, Tadahiro Ohmi
IEEE TRANSACTIONS ON ELECTRON DEVICES 54 (6) 1438-1445 2007年6月
ISSN:0018-9383
-
Formation of Ferroelectric Sr2(Ta1-x,Nbx)2O7Film (STN) on SiON formed by microwave-excited plasma and (Ba1-x,Srx)TiO3(BST) by rf sputtering applied to One-Transistor-Type Ferroelectric Memory Device 査読有り
Ichirou Takahashi, Tomoyuki Suwa, Keita Azumi, Tatsunori Isogai, Yasuyuki Shirai, Masaki Hirayama, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
The 19th International Symposium of Integrated Ferroelectrics (ISIF 2007) 2007年5月
-
Impact of Improved Mobilities and Suppressed 1/f Noise in Fully Depleted SOI MOSFETs Fabricated on Si(110) Surface 査読有り
W. Cheng, A. Teramoto, C. Tye, P. Gaubert, M. Hirayama, S. Sugawa, T. Ohmi
211th Meeting of The Electrochemical Society 717 2007年5月
-
The influence of interconnect line patterns using flat-surface and low-dielectric-loss material under high speed signal propagation 査読有り
M. Sugimura, H. Imai, M. Nakayama, M. Kawasaki, M. Fujimura, H. Oonuki, O. Kawashima, A. Morimoto, A. Teramoto, S. Sugawa, T. Ohmi
57TH ELECTRONIC COMPONENTS & TECHNOLOGY CONFERENCE, 2007 PROCEEDINGS 1714-1719 2007年5月
ISSN:0569-5503
-
Fabrication of Pt/Sr-2(Ta1-x,Nb-x)(2)O-7/SiO2/Si field-effect transistor for one-transistor-type ferroelectric random access memory 査読有り
Ichirou Takahashi, Keita Azumi, Yasuyuki Shirai, Masaki Hirayama, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
PROCEEDINGS OF THE 6TH WSEAS INTERNATIONAL CONFERENCE ON MICROELECTRONICS, NANOELECTRONICS AND OPTOELECTRONICS 37-43 2007年5月
-
Accuracy and applicability of low-frequency C-V measurement methods for characterization of ultrathin gate dielectrics with large current 査読有り
Rihito Kuroda, Akinobu Teramoto, Takanori Komuro, Hiroshi Tatekawa, Shigetoshi Sugawa, Tadahiro Ohmi
IEEE TRANSACTIONS ON ELECTRON DEVICES 54 (5) 1115-1124 2007年5月
ISSN:0018-9383
-
Hot Carrier Instability Mechanism in Accumulation-Mode Normally-off SOI nMOSFETs and Their Reliability Advantage 査読有り
Rihito Kuroda, Akinobu Teramoto, Weitao Cheng, Shigetoshi Sugawa, Tadahiro Ohmi
The 211th Electrochemical Society Meeting Abstract 54 (719) 2007年5月
-
Development of Microwave-Excited Plasma-Enhanced Metal-Organic Chemical Vapor Deposition System for Forming Ferroelectric Sr2(Ta1-x, Nbx)2O7 Thin Film on Amorphous SiO2 査読有り
Ichirou Takahashi, Kiyoshi Funaiwa, Keita Azumi, Satoru Yamashita, Yasuyuki Shirai, Masaki Hirayama, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
Japanese Journal of Applied Physics 46 (4B) 2200-2204 2007年4月
DOI: 10.1143/JJAP.43.2200
ISSN:0021-4922
-
Very low bit error rate in flash memory using tunnel dielectrics formed by Kr/O(2)/NO plasma oxynitridation 査読有り
Tomoyuki Suwa, Hiroto Takahashi, Yuki Kumagai, Genya Fujita, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
Japanese Journal of Applied Physics 46 (4B) 2148-2152 2007年4月
DOI: 10.1143/JJAP.46.2148
ISSN:0021-4922
-
High quality gate insulator film formation on SiC using by microwave-excited high-density plasma 査読有り
Koutarou Tanaka, Hiroaki Tanaka, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
MICROELECTRONICS RELIABILITY 47 (4-5) 786-789 2007年4月
DOI: 10.1016/j.microrel.2007.01.076
ISSN:0026-2714
-
Low leakage current and low resistivity p(+)n diodes on Si(110) fabricated by Ga+ and B+ dual ion implantation for low temperature source-drain activation 査読有り
Hiroshi Imai, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
Japanese Journal of Applied Physics 46 (4B) 1848-1852 2007年4月
DOI: 10.1143/JJAP.46.1848
ISSN:0021-4922
-
Electric Characteristics of Si3N4 Films Formed by Directly Radical Nitridation on Si(110) and Si(100) Surfaces 査読有り
Masaaki Higuchi, Takashi Aratani, Tatsufumi Hamada, Seiji Shinagawa, Hiroshi Nohira, Eiji Ikenaga, Akinobu Teramoto, Takeo Hattori, Shigetoshi Sugawa, Tadahiro Ohmi
Japanese Journal of Applied Physics 46 (4B) 1895-1898 2007年4月
DOI: 10.1143/JJAP.46.1895
ISSN:0021-4922
-
New statistical evaluation method for the variation of metal-oxide-semiconductor field-effect transistors 査読有り
Syunichi Watabe, Shigetoshi Sugawa, Akinobu Teramoto, Tadahiro Ohmi
Japanese Journal of Applied Physics 46 (4B) 2054-2057 2007年4月
DOI: 10.1143/JJAP.46.2054
ISSN:0021-4922
-
Technology of ferroelectric thin-film formation with large coercive field on amorphous SiO2 by ion-bombardment-assisted sputtering and oxygen radical treatment for future scaling down of ferroelectric gate field-effect transistor memory device 査読有り
Ichirou Takahashi, Masaki Hirayama, Shigetoshi Sugawa, Tadahiro Ohmi
Japanese Journal of Applied Physics 46 (4B) 2205-2210 2007年4月
DOI: 10.1143/JJAP.46.2205
ISSN:0021-4922
-
Subnitride and valence band offset at Si3N4/Si interface formed using nitrogen-hydrogen radicals 査読有り
Masaaki Higuchi, Shigetoshi Sugawa, Eiji Ikenaga, Jiro Ushio, Hiroshi Nohira, Takuya Maruizumi, Akinobu Teramoto, Tadahiro Ohmi, Takeo Hattori
Applied Physics Letters 90 (12) 123114-1-123114-3 2007年3月
-
横型オーバフロー蓄積と電流読出し動作を組合せたダイナミックレンジ200dB超のCMOSイメージセンサ 査読有り
赤羽奈々, 井出典子, 足立理, 溝渕孝一, 須川成利
映像情報メディア学会誌 61 (3) 347-359 2007年3月
出版者・発行元: 一般社団法人映像情報メディア学会DOI: 10.3169/itej.61.347
ISSN:1342-6907
-
群企画製品のモジュール化設計をベースにした生産システムの開発 査読有り
中塚信雄, 堀田正明, 加守田裕樹, 福田好朗, 須川成利
日本機械学会論文集(C編) 73 (727) 897-903 2007年3月
出版者・発行元: 一般社団法人日本機械学会ISSN:0387-5024
-
Examination of degradation mechanism due, to negative bias temperature stress from a perspective of hole energy for accurate lifetime prediction 査読有り
Kazufumi Watanabe, Akinobu Teramoto, Rihito Kuroda, Shigetoshi Sugawa, Tadahiro Uni
MICROELECTRONICS RELIABILITY 47 (2-3) 409-418 2007年2月
DOI: 10.1016/j.microrel.2006.06.001
ISSN:0026-2714
-
AN OBJECT EXTRACTION CMOS IMAGE SENSOR WITH 12-BIT COLUMN-PAPALLEL ADCS AND ALUS 査読有り
T. Tate, H. Kanto, Y. Motohashi, T. kubo, S. Sugawa, K. Kotani, T. Ohmi
PROCEEDINGS OF THE JOINT INTERNATIONAL CONFERENCE OF 4TH INTERNATIONAL SYMPOSIUM ON SYSTEM CONSTRUCTION OF GLOBAL-NETWORK-ORIENTED INFORMATION ELECTRONICS AND STUDENT-ORGANIZING INTERNATIONAL MINI-CONFERENCE ON INFORMATION ELECTRONICS SYSTEM 274-275 2007年1月
-
知的財産マネジメントにおける発明評価に関する研究 査読有り
加藤浩一郎, 須川成利
パテント 60 (1) 77-84 2007年1月
出版者・発行元: 日本弁理士会ISSN:0287-4954
-
13.56 and 100 MHz Coupled Mode Rf-Sputtering for Ferroelectric Sr2(Ta1-x, Nbx)2O7 (STN) Film Applied to One-Transistor Type Ferroelectric Random Access Memory 査読有り
I. TAKAHASHI, T. SHINOHARA, A. TERAMOTO, M. HIRAYAMA, S. SUGAWA, T. OHMI
European Meeting on Ferroelectricity 211 2007年
-
Improving execution speed of FPGA using dynamically reconfigurable technique 査読有り
Roel Pantonial, Md. Ashfaquzzaman Khan, Naoto Miyamoto, Koji Kotani, Shigetoshi Sugawa, Tadahiro Ohmi
PROCEEDINGS OF THE ASP-DAC 2007 108-109 2007年
DOI: 10.1109/ASPDAC.2007.357964
ISSN:2153-6961
-
A 960-fps sub-sampling object extraction CMOS image sensor with 12-bit column parallel ADCs and ALUs 査読有り
Yuichi Motohashi, Takashi Kubo, Hiroaki Kanto, Tomoyasu Tate, Shigetoshi Sugawa
SPIE Electronic Imaging Science and Technology 6501 O-1-O-8 2007年
ISSN:0277-786X
-
A temperature resistant wide dynamic range CMOS image sensor 査読有り
Koichi Mizobuchi, Satoru Adachi, Tomokazu Yamashita, Seiichiro Okamura, Hiromichi Oshikubo, Nana Akahane, Shigetoshi Sugawa
SPIE Electronic Imaging Science and Technology 6501 P-1-P-8 2007年
ISSN:0277-786X
-
Impact of improved mobilities and suppressed 1/f noise in fully depleted SOI MOSFETs fabricated on Si(110) surface 査読有り
W. Cheng, A. Teramoto, C. Tye, P. Gaubert, M. Hirayama, S. Sugawa, T. Ohmi
ECS Transactions 6 (4) 101-106 2007年
DOI: 10.1149/1.2728847
ISSN:1938-5862 1938-6737
-
Hot carrier instability mechanism in accumulation-mode normally-off SOI nMOSFETs and their reliability advantage 招待有り 査読有り
R. Kuroda, A. Teramoto, W. Cheng, S. Sugawa, T. Ohmi
ECS Transactions 6 (4) 113-118 2007年
DOI: 10.1149/1.2728849
ISSN:1938-5862 1938-6737
eISSN:1938-6737
-
Random telegraph signal statistical analysis using a very large-scale array TEG with IM MOSFETs 査読有り
K. Abe, S. Sugawa, S. Watabe, N. Miyamoto, A. Teramoto, Y. Kamata, K. Shibusawa, M. Toita, I. Ohmi
2007 SYMPOSIUM ON VLSI TECHNOLOGY, DIGEST OF TECHNICAL PAPERS 210-211 2007年
DOI: 10.1109/VLSIT.2007.4339696
-
A 200-mu V/e(-) CMOS image sensor with 100-ke(-) full well capacity 査読有り
Satoru Adachi, Woonghee Lee, Nana Akahane, Hiromichi Oshikubo, Koichi Mizobuchi, Shigetoshi Sugawa
2007 Symposium on VLSI Circuits, Digest of Technical Papers 142-143 2007年
DOI: 10.1109/VLSIC.2007.4342690
-
A wide DR and linear response CMOS image sensor with three photocurrent integrations in photodiodes, lateral overflow capacitors and column capacitors 査読有り
Noriko Ide, Woonghee Lee, Nana Akahane, Shigetoshi Sugawa
ESSCIRC 2007: PROCEEDINGS OF THE 33RD EUROPEAN SOLID-STATE CIRCUITS CONFERENCE 336-339 2007年
DOI: 10.1109/ESSCIRC.2007.4430312
ISSN:1930-8833
-
Statistical analysis of RTS noise and low frequency noise in 1M MOSFETs using an advanced TEG 査読有り
K. Abe, S. Sugawa, S. Watabe, N. Miyamoto, A. Teramoto, M. Toita, Y. Kamata, K. Shibusawa, T. Ohmi
International Conference on Noise and Fluctuations 922 115-118 2007年
ISSN:0094-243X
-
Modeling and Implementation of Subthreshold Characteristics of Accumulation-Mode MOSFETs for Various SOI Layer Thickness and Impurity Concentrations 査読有り
R. Kuroda, A. Teramoto, W. Cheng, S. Sugawa, T. Ohmi
2007 IEEE INTERNATIONAL SOI CONFERENCE PROCEEDINGS 55-56 2007年
ISSN:1078-621X
-
A range finding array sensor performing correlated calculations with a PN code modulation light 査読有り
T. Joboji, S. Sugawa
2007 IEEE SENSORS, VOLS 1-3 656-659 2007年
DOI: 10.1109/ICSENS.2007.4388484
ISSN:1930-0395
-
A high S/N ratio and high full well capacity CMOS image sensor with active pixel readout feedback operation 査読有り
Woonghee Lee, Nana Akahanel, Satoru Adachi, Koichi Mizobuchi, Shigetoshi Sugawal
2007 IEEE ASIAN SOLID-STATE CIRCUITS CONFERENCE, PROCEEDINGS OF TECHNICAL PAPERS 260-263 2007年
DOI: 10.1109/ASSCC.2007.4425780
-
Recent progress on wide dynamic range image sensors 招待有り 査読有り
S. Sugawa
IDW '07: PROCEEDINGS OF THE 14TH INTERNATIONAL DISPLAY WORKSHOPS, VOLS 1-3 307-310 2007年
-
A rapid prototyping of real-time pattern generator for step-and-scan lithography using digital micromirror device 査読有り
Naoto Miyamoto, Masahiko Shimakage, Tatsuo Morimoto, Kazuya Kadota, Shigetoshi Sugawa, Tadahiro Ohmi
ICFPT 2007: INTERNATIONAL CONFERENCE ON FIELD-PROGRAMMABLE TECHNOLOGY, PROCEEDINGS 305-308 2007年
-
Electric and interface characteristics of Si3N4 films formed by directly radical NH on Si (110) and Si (100) surfaces 査読有り
Masaaki Higuchi, Tomoyuki Suwa, Takashi Aratani, Tatsufumi Hamada, Akinobu Teramoto, Takeo Hattori, Shigetoshi Sugawa, Tadahiro Ohmi, Seiji Shinagawa, Hiroshi Nohira, Eiji Ikenaga
37th IEEE Semiconductor Interface Specialists Conference 13 2006年12月
-
X-ray photoelectron spectroscopy study of dielectric constant for Si compounds 査読有り
K. Hirose, M. Kihara, D. Kobayashi, H. Okamoto, S. Shinagawa, H. Nohira, E. Ikenaga, M. Higuchi, A. Teramoto, S. Sugawa, T. Ohmi, T. Hattori
APPLIED PHYSICS LETTERS 89 (15) 154103-1-154103-3 2006年10月
DOI: 10.1063/1.2361177
ISSN:0003-6951
-
Radical oxidation on ultra pure silicon surface 査読有り
Kazumasa Kawase, Masaaki Higuchi, Tomoyuki Suwa, Hiroshi Umeda, Masao Inoue, Akinobu Teramoto, Takeo Hattori, Shigetoshi Sugawa, Tadahiro Ohmi
The 210th Electrochemical Society Meeting 602 937 2006年10月
-
Thin SiON Film Grown at Low Temperature (400◦C) by Microwave-Exited High-Density Kr/O2/N2 Plasma 査読有り
Kazuo Ohtsubo, Yuji Saito, Masaki Hirayama, Shigetoshi Sugawa, Herz Aharoni, Tadahiro Ohmi
IEEE TRANSACTIONS ON PLASMA SCIENCE 34 (5) 2443-2449 2006年10月
ISSN:0093-3813
-
Very Low Bit Error Rate in Flash Memory using Tunnel Dielectrics formed by Kr/O2/NO Plasma Oxynitridation 査読有り
Tomoyasu Suwa, Hiroto Takahashi, Yuki Kumagai, Genya Fujita, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
International Conference on Solid State Devices and Materials 296-297 2006年9月
-
A New Statistical Evaluation Method for the Variation of MOSFETs 査読有り
Syunichi Watabe, Shigetoshi Sugawa, Akinobu Teramoto, Tadahiro Ohmi
2006 International Conference on SOLID STATE DEVICES and MATERIALS 532-533 2006年9月
-
Low Leakage Current and Low Resistivity p+n Diodes on Si(110) Fabricated by Ga+/B+ Combination I/I and Low Temperature Annealing 査読有り
Hiroshi Imai, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
2006 International Conference on SOLID STATE DEVICES and MATERIALS 454-455 2006年9月
-
Formation of Ferroelectric Sr2(Ta1-xNbx)2O7 Thin Film on Amorphous SiO2 by Microwave-Excited Plasma Enhanced Metalorganic Chemical Vapor Deposition 査読有り
Ichirou Takahashi, Kiyoshi Funaiwa, Keita Azumi, Satoru Yamashita, Yasuyuki Shirai, Masaki Hirayama, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
International Conference on SOLID STATE DEVICES and MATERIALS 2006 124-125 2006年9月
-
Technology of Ferroelectric Thin Film Formation with Large Coercive Filed for Future Scaling Down of Ferroelctric Gate FET Memory Devices 査読有り
I. Takahashi, T. Isogai, K. Azumi, M. Hirayama, A. Teramoto, S.Sugawa, T. Ohmi
International Conference on SOLID STATE DEVICES and MATERIALS 2006 554-555 2006年9月
-
Electric characteristics of Si3N4 films formed by directly radical nitridation on Si (110) and Si (100) surfaces 査読有り
Masaaki Higuchi, Takashi Aratani, Tatsufumi Hamada, Akinobu Teramoto, Takeo Hattori, Shigetoshi Sugawa, Tadahiro Ohmi, Seiji Shinagawa, Hiroshi Nohira, Eiji Ikenaga, Keisuke Kobayashi
International Conference on SOLID STATE DEVICES and MATERALS 386-387 2006年9月
-
Fabrication of Pt/Sr2(Ta1-x,Nbx)2O7/IrO2/SiO2/Si Device with Large Memory Window and Metal-Ferroelectric-Metal-Insulator-Si Field-Effect Transistor 査読有り
Ichirou Takahashi, Keita Azumi, Masaki Hirayama, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
Japanese Journal of Applied Physics 45 (9B) 7336-7340 2006年9月
DOI: 10.1143/JJAP.45.7336
ISSN:0021-4922
-
特許出願意思決定支援のための発明評価への工学的手法の適用 査読有り
加藤 浩一郎, 石井 和克, 須川 成利
知財管理 56 (8) 1137-1147 2006年8月
-
Formation of Metal-Ferroelectric-Insulator-Si Structure Device with Large Memory Window by Supplying Ion Bombardment Energy in Rf-Sputtering Plasma 査読有り
Ichirou Takahashi, Tatsunori Isogai, Keita Azumi, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
15th International Symposium on the Applications of Ferroelectrics 242 2006年8月
-
Control of nitrogen depth profile near silicon oxynitride/Si(100) interface formed by radical nitridation 査読有り
Kazumasa Kawase, Tomoyuki Suwa, Masaaki Higuchi, Hiroshi Umeda, Masao Inoue, Shimpei Tsujikawa, Akinobu Teramoto, Takeo Hattori, Shigetoshi Sugawa, Tadahiro Ohmi
Japanese Journal of Applied Physics 45 (8A) 6203-6209 2006年8月
DOI: 10.1143/JJAP.45.6203
ISSN:0021-4922
-
The Dependence of Remaining Carbon in the Electrical Property of the Gate Insulator Film on SiC at Low Temperature Insulator Formation 査読有り
Koutarou Tanaka, Hiroaki Tanaka, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
2006 Asia-Pacific Workshop on Fundamental and Application of Advanced Semiconductor Devices 155-159 2006年7月
-
A NOVEL PRODUCTION SYSTEM FOR A WIDE-VARIETY-SMALL-VOLUME PRODUCTION 査読有り
Nobuo NAKATSUKA, Takaaki HOTTA, Katsumi MASAKI, Hiroki KAMODA, Kuniaki TANAKA, Shigetoshi SUGAWA
International Symposium on Flexible Automation 458-460 2006年7月
-
The dependence of the intermediate nitridation states density at Si3N4/Si interface on surface Si atoms density 査読有り
Masaaki Higuchi, Seiji Shinagawa, Akinobu Teramoto, Hiroshi Nohira, Takeo Hattori, Eiji Ikenaga, Shigetoshi Sugawa, Tadahiro Ohmi
Asia-Pacific Workshop on Fundamental and Application of Advanced Semiconductor Devices 106 (137) 265-270 2006年7月
出版者・発行元: 一般社団法人電子情報通信学会ISSN:0913-5685
-
Development of Production System using Inline Inspection within Processes to Eliminate Quality Inconsistencies 査読有り
N. Nakatsuka, T. Hotta, H. Kamoda, S. Sugawa
2006 International Symposium on Flexible Automation 252-256 2006年7月
-
High Quality Gate Insulator Film Formation on SiC using by Microwave-Excited High-Density Plasma 査読有り
Koutarou Tanaka, Hiroaki Tanaka, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
14th Workshop on Dielectrics in Microelectronics 111-112 2006年6月
-
Relationship between Sr2(Ta1-x, Nbx)2O7 Crystal Phase and RF-Sputtering Plasma Condition for Metal-Ferroelectric-Insulator-Si Structure Device Formation 査読有り
L Takahashi, H Sakurai, T Isogai, M Hirayama, A Teramoto, S Sugawa, T Ohmi
Japanese Journal of Applied Physics 45 (4B) 3207-3212 2006年4月
DOI: 10.1143/JJAP.45.3207
ISSN:0021-4922
-
A sensitivity and linearity improvement of a 100-dB dynamic range CMOS image sensor using a lateral overflow integration capacitor 査読有り
N Akahane, S Sugawa, S Adachi, K Mori, T Ishiuchi, K Mizobuchi
IEEE JOURNAL OF SOLID-STATE CIRCUITS 41 (4) 851-858 2006年4月
ISSN:0018-9200
-
Impact of improved high-performance Si(110)-oriented metal-oxide-semiconductor field-effect transistors using accumulation-mode fully depleted silicon-on-insulator devices 査読有り
Weitao Cheng, Akinobu Teramoto, Masaki Hirayama, Shigetoshi Sugawa, Tadahiro Ohmi
Japanese Journal of Applied Physics 45 (4B) 3110-3116 2006年4月
DOI: 10.1143/JJAP.45.3110
ISSN:0021-4922
-
Statistical evaluation of very low gate leakage current for bit error evaluation in Flash Memory 査読有り
T. Suwa, S. Sugawa, H. Takahashi, A. Teramoto, T. Ohmi
Transactions of the Materials Research Society of Japan 31 (1) 141-144 2006年3月
-
多品種少量生産における品質管理と設備管理 査読有り
中塚 信雄, 堀田 正明, 加守田 裕樹, 福田好朗, 須川成利
日本設備管理学会誌 17 (4) 216-220 2006年2月
-
Capacitance-voltage measurement method for ultrathin gate dielectrics using LC resonance circuit 査読有り
A Teramoto, R Kuroda, M Komura, K Watanabe, S Sugawa, T Ohmi
IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING 19 (1) 43-49 2006年2月
ISSN:0894-6507
-
A 200 dB Dynamic Range Iris-less CMOS Image Sensor with Lateral Overflow Integration Capacitor using Hybrid Voltage and Current Readout Operation 査読有り
Nana Akahane, Rie Ryuzaki, Satoru Adachi, Koichi Mizoubuchi, Shigetoshi Sugawa
2006 IEEE International Solid-State Circuits Conference 300-301 2006年2月
-
Development and operation of a synchronized production system using a virtual production concept
Nobuo Nakatsuka, Tadaaki Hotta, Hiroki Kamoda, Yoshiro Fukuda, Shigetoshi Sugawa
Journal of Japan Industrial Management Association 57 (4) 272-277 2006年
ISSN:1342-2618
-
An over 200 dB dynamic range image capture using a CMOS image sensor with lateral overflow integration capacitor and current readout circuit in a pixel 査読有り
Nana Akahane, Rie Ryuzaki, Shigetoshi Sugawa, Satoru Adachi, Koichi Mizobuchi
ICIS '06: INTERNATIONAL CONGRESS OF IMAGING SCIENCE, FINAL PROGRAM AND PROCEEDINGS 160-+ 2006年
-
Development and practical application of high-efficiency fire control system for the clean room 査読有り
Soji Fukuda, Tadahiro Ohmi, Shigetoshi Sugawa
ISSM 2006 CONFERENCE PROCEEDINGS- 13TH INTERNATIONAL SYMPOSIUM ON SEMICONDUCTOR MANUFACTURING 243-246 2006年
-
Low voltage 3 V operation of ferroelectric multi-layer stack MFIS structure device formed by plasma physical vapor deposition and oxygen radical treatment 査読有り
Takahashi, I, H Sakurai, T Isogai, A Teramoto, S Sugawa, T Ohmi
INTEGRATED FERROELECTRICS 81 47-55 2006年
DOI: 10.1080/10584580600657906
ISSN:1058-4587
-
High quality silicon nitride deposited by Ar/N-2/H-2/SiH4 high-density and low energy plasma at low temperature 査読有り
CJ Zhong, H Tanaka, S Sugawa, T Ohmi
MICROELECTRONICS JOURNAL 37 (1) 44-49 2006年1月
DOI: 10.1016/j.mejo.2005.06.007
ISSN:0026-2692
-
Accurate circuit performance prediction model and lifetime prediction method of NBT stressed devices for highly reliable ULSI circuits 査読有り
Rihito Kuroda, Kazufumi Watanabe, Akinobu Teramoto, Michihiko Mifuji, Takahisa Yamaha, Shigetoshi Sugawa, Tadahiro Ohmi
2006 IEEE INTERNATIONAL CONFERENCE ON INTEGRATED CIRCUIT DESIGN AND TECHNOLOGY, PROCEEDINGS 199-202 2006年
-
A novel production system for wide-variety-small-volume production - Modular structure and production platform hierarchy 査読有り
Hiroki Kamoda, Tadaaki Hotta, Nobuo Nakatsuka, Shigetoshi Sugawa
2006 IEEE INTERNATIONAL CONFERENCE ON MANAGEMENT OF INNOVATION AND TECHNOLOGY, VOLS 1 AND 2, PROCEEDINGS 858-+ 2006年
-
Wide dynamic range CMOS image sensors for high quality digital camera, security, automotive and medical applications 査読有り
Nana Akahane, Shigetoshi Sugawa, Satoru Adachi, Koichi Mizobuchi
2006 IEEE SENSORS, VOLS 1-3 396-399 2006年
DOI: 10.1109/ICSENS.2007.355489
ISSN:1930-0395
-
Improving multi-context execution speed on DRFPGAs 査読有り
Md. Ashfaquzzaman Khan, Naoto Miyamoto, Roel Pantonial, Koji Kotani, Shigetoshi Sugawa, Tadahiro Ohmi
2006 IEEE Asian Solid-State Circuits Conference, ASSCC 2006 275-278 2006年
DOI: 10.1109/ASSCC.2006.357904
-
Effect of power density on the structure properties of microcrystalline silicon film prepared by high-density low-ion-energy microwave plasma 査読有り
CH Zhong, H Tanaka, S Sugawa, T Ohmi
THIN SOLID FILMS 493 (1-2) 54-59 2005年12月
DOI: 10.1016/j.tsf.2005.06.047
ISSN:0040-6090
-
Geometry and bias dependence of low-frequency random telegraph signal and 1/f noise levels in mosfets 査読有り
M Toita, LKJ Vandamme, S Sugawa, A Teramoto, T Ohmi
FLUCTUATION AND NOISE LETTERS 5 (4) L539-L548 2005年12月
DOI: 10.1142/S0219477505002999
ISSN:0219-4775
-
Hydrogen termination of Si(110) surfaces upon wet cleaning revealed by highly resolved scanning tunneling microscopy 査読有り
K Arima, J Katoh, S Horie, K Endo, T Ono, S Sugawa, H Akahori, A Teramoto, T Ohmi
JOURNAL OF APPLIED PHYSICS 98 (10) 103525 1-8 2005年11月
DOI: 10.1063/1.2136214
ISSN:0021-8979
eISSN:1089-7550
-
High resolution X-ray photoelectron spectroscopy study on Si3N4/Si interface structures and its correlation with hysteresis in C-V curves 査読有り
M. Higuchi, A. Teramoto, M. Komura, S. Shinagawa, E. Ikenaga, H. Nohira, K. Kobayashi, T. Hattori, S. Sugawa, T. Ohmi
The 208th Electrochemical Society Meeting 748 2005年10月
-
A High S/N Ratio CMOS Image Sensor with Column Parallel A/D Converter for Object Extraction 査読有り
T.Tate, S.Sugawa, K.Kotani, T.Ohmi
PROCEEDINGS OF THE 3RD STUDENT-ORGANIZING INTERNATIONAL MINI-CONFERENCE ON INFORMATION ELECTRONICS SYSTEM 115-117 2005年10月
-
New NBTI Lifetime Prediction Method for Ultra Thin SiO2 Films 査読有り
K.Watanabe, R.Kuroda, A.Teramoto, S.Sugawa, T.Ohmi
ECS Transactions Physics and Chemistry of SiO2 and the Si-SiO2 Interface-5 1 (1) 147-160 2005年10月
ISSN:1938-5862
eISSN:1938-6737
-
High resolution X-ray photoelectron spectroscopy study on Si3N4/Si interface structures and its correlation with hysteresis in C-V curves 査読有り
M.Higuchi, A.Teramoto, M.Komura, S.Shinagawa, E.Ikenaga, H.Nohira, K.Kobayashi, T.Hattori, S.Sugawa, T.Ohmi
ECS Transactions Physics and Chemistry of SiO2 and the Si-SiO2 Interface-5 1 (1) 267-276 2005年10月
-
A NEW NBTI LIFETIME PREDICTION METHOD FOR PMOSFETS WITH ULTRA THIN GATE FILMS 査読有り
R.Kuroda, K.Watanabe, A.Teramoto, S.Sugawa, T.Ohmi
PROCEEDINGS OF THE 3RD STUDENT-ORGANIZING INTERNATIONAL MINI-CONFERENCE ON INFORMATION ELECTRONICS SYSTEM 127-130 2005年10月
-
High Quality Si3N4 Gate Dielectric For Sub-100nm ULSI Devices 査読有り
M.Higuchi, A.Teramoto, M.Komura, S.Shinagawa, E.Ikenaga, H.Nohira, K.Kobayashi, T.Hattori, S.Sugawa, T.Ohmi
PROCEEDINGS OF THE 3RD STUDENT-ORGANIZING INTERNATIONAL MINI-CONFERENCE ON INFORMATION ELECTRONICS SYSTEM 143-146 2005年10月
-
High Performance CMOS Devices Using Accumulation-Mode Fully Depleted SOI MOSFETs for Analog Integrated Circuits 査読有り
C.Weitao, A.Teramoto, M.Hirayama, S.Sugawa, T.Ohmi
PROCEEDINGS OF THE 3RD STUDENT-ORGANIZING INTERNATIONAL MINI-CONFERENCE ON INFORMATION ELECTRONICS SYSTEM 151-154 2005年10月
-
Geometry and Bias Dependency of Low-Frequency Random Telegraph Signal and 1/F Noise Levels in MOSFETs 査読有り
M.Toita, L.K.J.Vandamme, S.Sugawa, A.Teramoto, T.Ohmi
20th Annual Meeting of Japanese Association for Science, Art and Technology of Fluctuations 24-26 2005年9月
-
Study of the Metal-Ferroelectric-Insulator-Si Structure Device Formation by Controlling Properties of High Frequency and Microwave Excited Plasma 査読有り
I.Takahashi, H.Sakurai, T.Isogai, A.Teramoto, S.Sugawa, T.Ohmi
the 2005 International Conference on Solid State Devices and Materials 1034-1035 2005年9月
-
Damage-Free Microwave-Excited Plasma Contact Hole Etching without Carrier Deactivation at the Interface between Silicide and Heavily-Doped Si 査読有り
T.Goto, M.Terasaki, H.Asahara, H.Nakazawa, A. Inokuchi, J.Yamanaka, A.Teramoto, M.Hirayama, S.Sugawa, T.Ohmi
he 2005 International Conference on Solid State Devices and Materials 2005 536-537 2005年9月
-
Impact of The Improved High Performance Si(110) Oriented MOSFETs by Using Accumulation-Mode Fully Depleted SOI Devices 査読有り
C.Weitao, A.Teramoto, M.Hirayama, S.Sugawa, T. Ohmi
the 2005 International Conference on Solid State Devices and Materials 2005 258-259 2005年9月
-
Improvement of the electrical properties of PECVD silicon oxide using high-density and low-ion-energy plasma post-treatment 査読有り
CH Zhong, H Tanaka, S Sugawa, T Ohmi
JOURNAL OF NON-CRYSTALLINE SOLIDS 351 (27-29) 2232-2237 2005年8月
DOI: 10.1016/j.jnoncrysol.2005.06.016
ISSN:0022-3093
-
The Tolerance for FD Dark Current and PD Overflow Current Characteristics of Wide Dynamic Range CMOS Image Sensor Using a Lateral Overflow Integration Capacitor 査読有り
Satoru Adachi, Shigetoshi Sugawa, Nana Akahane, Kazuya Mori, Toshiyuki Ishiuchi, Koichi Mizobuchi
2005 IEEE Workshop on Charge-Coupled Devices and Advanced Image Sensors 153-156 2005年6月9日
-
Impact of High Performance Accumulation-Mode Fully Depleted SOI MOSFETs 査読有り
Cheng Weitao, Akinobu Teramoto, Masaki Hirayama, Shigetoshi Sugawa, Tadahiro Ohmi
2005 Asia-Pacific Workshop on fundamentals and Applications of Advanced Semiconductor Devices (AWAD2005) 59-62 2005年6月
-
Low Voltage 3V Operation of the Ferroelectric Multi-Layer Stack MFIS Structure Device Formed by Plasma Physical vapor Deposition and Oxygen Radical Treatment 査読有り
Ichirou Takahashi, Tatufumi Isogai, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
The 17th International Symposium on Integrated Ferroelectrics (ISIF 2005) 2005年4月
-
A high S/N ratio object extraction CMOS image sensor with column parallel signal processing 招待有り 査読有り
T Tate, S Sugawa, K Chiba, K Kotani, T Ohmi
Japanese Journal of Applied Physics 44 (4B) 2093-2098 2005年4月
DOI: 10.1143/JJAP.44.2093
ISSN:0021-4922
-
EOT measurement for ultra-thin gate dielectrics using LC resonance circuit 査読有り
A.Teramoto, M.Komura, R.Kuroda, K.Watanabe, S.Sugawa, T.Ohmi
International Conference on Microelectronic Test Structures 2005 223-227 2005年4月
-
A 100 dB Dynamic Range CMOS Image Sensor Using a Lateral Overflow Integration Capacitor 査読有り
Shigetoshi Sugawa, Nana Akahane, Satoru Adachi, Kazuya Mori, Toshiyuki Ishiuchi, Koichi Mizobuchi
IEEE International Solid-State Circuits Conference 352-353 2005年2月8日
-
Statistical evaluation of very low gate leakage current for bit error evaluation in Flash Memory 査読有り
T. Suwa, S. Sugawa, H. Takahashi, A. Teramoto, T. Ohmi
Symposium of the Materials Research Society of Japan 169 2005年
-
New NBTI Lifetime Prediction Method for Ultra Thin SiO2 Films 査読有り
K. Watanabe, R. Kuroda, A. Teramoto, S. Sugawa, T. Ohmi
Electrochemical Society Meeting 738 2005年
-
A sensitivity and linearity improvement of a 100 dB dynamic range CMOS image sensor using a lateral overflow integration capacitor 査読有り
N Akahane, S Sugawa, S Adachi, K Mori, T Ishiuchi, K Mizobuchi
2005 Symposium on VLSI Circuits, Digest of Technical Papers 62-65 2005年
DOI: 10.1109/VLSIC.2005.1469334
-
Accurate circuit performance prediction model and lifetime prediction method of NBT stressed devices for highly reliable ULSI circuits 査読有り
R Kuroda, K Watanabe, A Teramoto, M Mifuji, T Yamaha, S Sugawa, T Ohmi
IEEE INTERNATIONAL ELECTRON DEVICES MEETING 2005, TECHNICAL DIGEST 717-720 2005年
-
リアルタイムオブジェクト分離を行なう高機能CMOSイメージセンサ 査読有り
須川成利, 舘知恭, 千葉浩児, 赤羽奈々, 小谷光司, 大見忠弘
映像情報メディア学会誌 134-139 2004年11月
-
Accurate Temperature Drift model of MOSFETs Mobility for Analog Circuits 査読有り
Kazufumi Watanabe, Tatsufumi Hamada, Koji Kotani, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
The 2nd Student-Organizing International Mini-Conference on Information Electronics System (SOIM-COE04) 145-148 2004年10月
-
AN ADVANCED HIGH S/N RATIO CMOS IMAGE SENSOR WITH REAL TIME OBJECT CATEGORIZING FUNCTION 査読有り
Tomoyasu Tate, Shigetoshi Sugawa, Koji Chiba, Koji Kotani, Tadahiro Ohmi
The 2nd Student-Organizing International Mini-Conference on Information Electronics System 149-151 2004年10月
-
A High S/N Ratio Object Extraction CMOS Image Sensor with Column Parallel Signal Processing 査読有り
Tomoyasu Tate, Shigetoshi Sugawa, Koji Chiba, Koji Kotani, Tadahiro Ohmi
International Conference on SOLID STATE DEVICES AND MATERIALS 2004 354-355 2004年9月
-
MFIS-structure Memory Device with High Quality Ferroelectric Sr2 (Ta1-x, Nbx)2O7 Formed by Physical Vapor Deposition and Oxygen Radical Treatment by Oxygen Assisted Layer by Layer(ROALL) deposition 査読有り
Hiroyuki Sakurai, Ichirou Takahashi, Tatsunori Isogai, Kiyoshi Funaiwa, Tomoya Tsunoda, Tetsuya Goto, Masaki Hirayama, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
2004 International Conference on SOLID STATE DEVICES AND MATERIALS 2004 640-641 2004年9月
-
A Large-Signal MOSFET Model Based on Transient Carrier Response for RF Circuits 査読有り
Kazufumi Watanabe, Koji Kotani, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
International Conference on SOLID STATE DEVICES AND MATERIALS 2004 736-737 2004年9月
-
Accurate temperature drift model of MOSFETs mobility for analog circuits 査読有り
K Watanabe, T Hamada, K Kotani, A Teramoto, S Sugawa, T Ohmi
SIMULATION OF SEMICONDUCTOR PROCESSES AND DEVICES 2004 291-294 2004年9月
-
Low-temperature growth (400℃) of high-integrity thin silicon-oxynitride films by microwave-excited high-density Kr-O-2-NH3 plasma 査読有り
K Ohtsubo, Y Saito, M Hirayama, S Sugawa, H Aharoni, T Ohmi
IEEE TRANSACTIONS ON PLASMA SCIENCE 32 (4) 1747-1751 2004年8月
ISSN:0093-3813
-
Sub-micron MOSFETs Technology Characterization by Low-Frequency Noise 査読有り
M. Toita, S. Sugawa, A. Tetramoto, T. Ohmi
3rd European Microelectronics and Packaging Symposium Proceedings 19-24 2004年6月
-
High-speed damage-free contact hole etching using dual shower head microwave-excited high-density-plasma equipment 査読有り
T Goto, H Yamauchi, T Kato, M Terasaki, A Teramoto, M Hirayama, S Sugawa, T Ohmi
Japanese Journal of Applied Physics 43 (4B) 1784-1787 2004年4月
DOI: 10.1143/JJAP.43.1784
ISSN:0021-4922
-
A Low-Dielectric-Constant Sr2(Ta1-x, Nbx)2O7 Thin Film Controlling the Crystal Orientation on an IrO2 Substrate for One-Transistor-Type Ferroelectric Memory Device 査読有り
Ichirou TAKAHASHI, Hiroyuki SAKURAI, Atsuhiro YAMADA, Kiyoshi FUNAIWA, Tetsuya GOTO, Masaki HIRAYAMA, Akinobu TERAMOTO, Shigetoshi SUGAWA, Tadahiro OHMI
Japanese Journal of Applied Physics 43 (4B) 2194-2198 2004年4月
DOI: 10.1143/JJAP.43.2194
ISSN:0021-4922
-
MFIS structure device with a low dielectric constant ferroelectric Sr-2(Ta1-x,Nb-x)(2)O-7 formed by plasma physical vapor deposition and oxygen radical treatment 査読有り
Takahashi, I, H Sakurai, T Isogai, K Funaiwa, M Hirayama, A Teramoto, S Sugawa, T Ohmi
INTEGRATED FERROELECTRICS 65 29-38 2004年1月
DOI: 10.1080/10584580490892665
ISSN:1058-4587
-
A Low Dielectric Constant Sr2(Ta1-x, Nbx)2O7 Thin Film Controlling the Crystal Orientation on IrO2 Substrate for One Transistor Type Ferroelectric Memory Device 査読有り
Ichirou Takahashi, Hiroyuki Sakurai, Atsuhiro Yamada, Tetsuya Goto, Masaki Hirayama, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
the 2003 International Conference on SOLID STATE DEVICES AND MATERIALS 36-37 2003年9月
-
Very High Reliability of Ultrathin Silicon Nitride Gate Dielectric Film for Sub-100nm Generation 査読有り
Masanori Komura, Masaaki Higuchi, Weitao Cheng, Ichiro Ohshima, Akinobu Teramoto, Masaki Hirayama, Shigetoshi Sugawa, Tadahiro Ohmi
the 2003 International Conference on SOLID STATE DEVICES AND MATERIALS 452-453 2003年9月
-
Atomic Order Flattening of Hydrogen-Terminated Si (110) substrate For Next Generation ULSI Devices 査読有り
Hiroshi Akahori, Keiichi Nii, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
the 2003 International Conference on SOLID STATE DEVICES AND MATERIALS 458-459 2003年9月
-
High Performance Poly-Si Device with Thin Gate Oxide Film Grown by Plasma Oxidation Technology 査読有り
Fuminobu Imaizumi, Tomohiko Hayashi, Katsuji Ishii, Akinobu Teramoto, Masaki Hirayama, Shigetoshi Sugawa, Tadahiro Ohmi
the 2003 International Conference on SOLID STATE DEVICES AND MATERIALS 724-725 2003年9月
-
High Quality Silicon Nitride Film Formed by Microwave-Excited Plasma Enhanced Chemical Vapor Deposition with Dual Gas Shower Head 査読有り
Hiroaki Tanaka, Zhong Chuanjie, Yukio Hayakawa, Masaki Hirayama, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
the 2003 International Conference on SOLID STATE DEVICES AND MATERIALS 736-737 2003年9月
-
High-Speed Damage-Free Contact Hole Etching using Dual Shower Head Microwave-Excited High-Density Plasma Equipment 査読有り
Tetsuya Goto, Hiroshi Yamauchi, Takeyoshi Kato, Akinobu Teramoto, Masaki Hirayama, Shigetoshi Sugawa, Tadahiro Ohmi
the 2003 International Conference on SOLID STATE DEVICES AND MATERIALS 744-745 2003年9月
-
Oxygen radical treatment applied to ferroelectric thin films 査読有り
Takahashi, I, H Sakurai, A Yamada, K Funaiwa, K Hirai, S Urabe, T Goto, M Hirayama, A Teramoto, S Sugawa, T Ohmi
APPLIED SURFACE SCIENCE 216 (1-4) 239-245 2003年6月
DOI: 10.1016/S0169-4332(03)00424-0
ISSN:0169-4332
-
Reliability of silicon nitride gate dielectrics grown at 400℃ formed by microwave-excited high-density plasma 査読有り
Ohshima, I, WT Cheng, Y Ono, M Higuchi, M Hirayama, A Teramoto, S Sugawa, T Ohmi
APPLIED SURFACE SCIENCE 216 (1-4) 246-251 2003年6月
DOI: 10.1016/S0169-4332(03)00423-9
ISSN:0169-4332
-
数値制御プラズマCVM(Chemical Vaporization Machining)によるSOIの薄膜化: デバイス用基板としての加工面の評価 査読有り
森勇蔵, 佐野泰久, 山村和也, 森田諭, 森田瑞穂, 大島一郎, 齊藤裕司, 須川成利, 大見忠弘
精密工学会誌 69 (5) 721-725 2003年5月
DOI: 10.2493/jjspe.69.721
-
A High S/N Ratio CMOS Image Sensor with Real Time Object Categorizing Function 査読有り
Shigetoshi Sugawa, Tomoyasu Tate, Koji Chiba, Koji Kotani, Tadahiro Ohmi
2003 IEEE Workshop on Charge-Coupled Devices and Advanced Image Sensors 2003年5月
-
A still-image encoder based on adaptive resolution vector quantization featuring needless calculation elimination architecture 査読有り
M Fujibayashi, T Nozawa, T Nakayama, K Mochizuki, M Konda, K Kotani, S Sugawa, T Ohmi
IEEE JOURNAL OF SOLID-STATE CIRCUITS 38 (5) 726-733 2003年5月
ISSN:0018-9200
-
A new microwave-excited plasma etching equipment for separating plasma excited region from etching process region 査読有り
T Goto, M Hirayama, H Yamauchi, M Moriguchi, S Sugawa, T Ohmi
Japanese Journal of Applied Physics 42 (4B) 1887-1891 2003年4月
DOI: 10.1143/JJAP.42.1887
ISSN:0021-4922
-
High‐Quality Silicon Oxide Film Formed by Diffusion Region Plasma Enhanced Chemical Vapor Deposition and Oxygen Radical Treatment Using Microwave-Excited High-Density Plasma 査読有り
Hiroaki TANAKA, Zhong CHUANJIE, Yukio HAYAKAWA, Masaki HIRAYAMA, Akinobu TERAMOTO, Shigetoshi SUGAWA, Tadahiro OHMI
Japanese Journal of Applied Physics 42 (4B) 1911-1915 2003年4月
DOI: 10.1143/JJAP.42.1911
ISSN:0021-4922
-
Ferroelectric Sr2(Ta1-x, Nbx)2O7 with a Low Dielectric Constant by Plasma Physical Vapor Deposition and Oxygen Radical Treatment 査読有り
Ichirou TAKAHASHI, Hiroyuki SAKURAI, Atsuhiro YAMADA, Kiyoshi FUNAIWA, Kentaro HIRAI, Shinichi URABE, Tetsuya GOTO, Masaki HIRAYAMA, Akinobu TERAMOTO, Shigetoshi SUGAWA, Tadahiro OHMI
Japanese Journal of Applied Physics 42 (4B) 2050-2054 2003年4月
DOI: 10.1143/JJAP.42.2050
ISSN:0021-4922
-
A technology for reducing flicker noise for ULSI applications 査読有り
K Tanaka, K Watanabe, H Ishino, S Sugawa, A Teramoto, M Hirayama, T Ohmi
Japanese Journal of Applied Physics 42 (4B) 2106-2109 2003年4月
DOI: 10.1143/JJAP.42.2106
ISSN:0021-4922
-
A still image encoder based on adaptive resolution vector quantization employing needless calculation elimination architecture 査読有り
M Fujibayashi, T Nozawa, T Nakayama, K Mochizuki, K Kotani, S Sugawa, T Ohmi
ASP-DAC 2003: PROCEEDINGS OF THE ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE 567-568 2003年
DOI: 10.1109/ASPDAC.2003.1195081
-
1/f noise degradation caused by Fowler-Nordheim tunneling stress in MOSFETs 査読有り
M Toita, T Sugawa, A Teramoto, T Akaboshi, H Imai, T Ohmi
41ST ANNUAL PROCEEDINGS: INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM 313-317 2003年
DOI: 10.1109/RELPHY.2003.1197764
-
Application of hydrogenated water to united water supply system for high performance and step-by-step investment type system LSI manufacturing fab 査読有り
Yokoi, I, Y Yamazaki, S Sugawa, T Ohmi
2003 IEEE INTERNATIONAL SYMPOSIUM ON SEMICONDUCTOR MANUFACTURING 2003 14-17 2003年
-
Low noise balanced-CMOS on Si(110) surface for analog/digital mixed signal circuits 査読有り
A Teramoto, T Hamada, H Akahori, K Nii, T Suwa, K Kotani, A Hirayama, S Sugawa, T Ohmi
2003 IEEE INTERNATIONAL ELECTRON DEVICES MEETING, TECHNICAL DIGEST 801-804 2003年
-
Organic contamination behavior on the silicon wafer surface stored in new type plastic pod under reduced pressure 査読有り
Teruyuki Hayashi, Takashi Kawaguchi, Yukihiro Kanechika, Naoki Tanahashi, Misako Saito, Kaname Suzuki, Yoshihide Wakayama, Masaki Hirayama, Yasuyuki Shirai, Shigetoshi Sugawa, Tadahiro Ohmi
2002 IEEE International Symposium on Semiconductor Manufacturing 169-172 2002年10月
-
Oxygen Radical Annealing Applied to Ferroelectric Thin Films 査読有り
Ichirou Takahashi, Hiroyuki Sakurai, Atsuhiko Yamada, Kiyoshi Funaiwa, Kentaro Hirai, Shinichi Urabe, Tetsuya Goto, Masaki Hirayama, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
Fourth International Symposium on Control of Semiconductor Interfaces (ISCSI-4) A4-6 2002年10月
-
Highly Reliable Silicon Nitride Gate Dielectrics Grown at Low Temperature by Microwave-Excited High-Density Plasma 査読有り
Ichiro Ohshima, Weitao Cheng, Masaki Hirayama, Akinobu Teramoto, Hiroyuki Shimada, Yasuhiro Ono, Shigetoshi Sugawa, Tadahiro Ohmi
Fourth International Symposium on Control of Semiconductor Interfaces(ISCSI-4) A5-2 2002年10月
-
A New Microwave-Excited Plasma Etching Equipment separated Plasma Exicited Region from Etchikg Process Region 査読有り
Tetsuya Goto, Masaki Hirayama, Makoto Moriguchi, Shigetoshi Sugawa, Tadahiro Ohmi
International SOLID STATE DEVICES AND MATERIALS 444-445 2002年9月
-
A Ferroelectric Sr2(Ta1-x,Nbx)2O7 with a Low Dielectric Constant by Plasma PVD and Oxygen Radical Annealing 査読有り
Ichirou Takahashi, Hiroyuki Sakurai, Atsuhiro Yamada, Kiyoshi Funaiwa, Kentaro Hirai, Shinichi Urabe, Tetsuya Goto, Masaki Hirayama, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
International SOLID STATE DEVICES AND MATERIALS 618-619 2002年9月
-
A Technology of reducing Flicker Noise for ULSI application 査読有り
Koutarou Tanaka, Kazufumi Watanabe, Hideki Ishino, Shigetoshi Sugawa, Akinobu Teramoto, Masaki Hirayama, Tadahiro Ohmi
International SOLID STATE DEVICES AND MATERIALS 702-703 2002年9月
-
High Quality Silicon Oxide Film Formed by Diffusion Region PECVD and Oxygen Radical Treatment using Microwave-Excited High-Density Plasma 査読有り
Hiroaki Tanaka, Zhong Chuanjie, Masaki Hirayama, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
International Conference on Solid State Devices and Materials 424-425 2002年9月
-
Analysis of high-speed signal behavior in a miniaturized interconnect 査読有り
A Morimoto, K Kotani, K Takahashi, S Sugawa, T Ohmi
IEICE TRANSACTIONS ON ELECTRONICS E85C (5) 1111-1118 2002年5月
ISSN:0916-8524
eISSN:1745-1353
-
The Growth of Thin Oxide and Nitride Films at Low Temperatures for Semiconductor Device Fabrication by Microwave-Excited High-Density Plasma 査読有り
Yuji Saito, Katsuyuki Sekine, Ryu Kaihara, Masaki Hirayama, Shigetoshi Sugawa, Herzl Aharoni, Tadahiro Ohmi
The 10th Israel Materials Engineering Conference 60 2002年2月
-
小規模生産ラインとクリーン化技術 査読有り
大見 忠弘, 須川 成利, 岸田 好晴
空気清浄 39 (5) 270-281 2002年1月
-
A still image encoder based on adaptive resolution vector quantization realizing compression ratio over 1/200 featuring needless calculation elimination architecture 査読有り
M Fujibayashi, T Nozawa, T Nakayama, K Mochizuki, M Konda, K Kotani, S Sugawa, T Ohmi
2002 SYMPOSIUM ON VLSI CIRCUITS, DIGEST OF TECHNICAL PAPERS 262-265 2002年
-
LOW TEMPERATURE GROWTH (400℃) OF HIGH-INTEGRITY THIN SILICON-OXYNITRIDE FILMS BY MICROWAVE-EXCITED HIGH-DENSITY Kr/O2/NH3 PLASMA 査読有り
Kazuo Ohtsubo, Yuji Saito, Masaki Hirayama, Shigetoshi Sugawa, Herzl Aharoni, Tadahiro Ohmi
IEEE The 22nd Convention of Electrical and Electronics Engineers in Israel 166-169 2002年
DOI: 10.1109/EEEI.2002.1178381
-
Advantage of Silicon Nitride Gate Insulator Transistor by using Microwave-Excited High-Density Plasma for applying 100nm Technology Node 査読有り
Shigetoshi Sugawa, Ichiro Ohshima, Hideaki Ishino, Yuji Saito, Masaki Hirayama, Tadahiro Ohmi
2001 IEEE International Electron Devices meeting 37.3.1-37.3.4 2001年12月
-
Improving the reliability and the insulation properties of gate oxide in the gate injection mode by using a new procedure of (100) Si surface and Si/SiO2 interface treatments 査読有り
T Ohkawa, O Nakamura, S Sugawa, H Aharoni, T Ohmi
IEEE TRANSACTIONS ON ELECTRON DEVICES 48 (12) 2957-2959 2001年12月
DOI: 10.1109/16.974737
ISSN:0018-9383
-
(100) and (111) Si MOS Transistors Fabricated With Low Growth Temperature (400℃)Gate Oxide by Kr/O2 Microwave-Excited High-Density Plasma 査読有り
Tatsufumi Hamada, Yuji Saito, Masaki Hirayama, Sigetoshi Sugawa, Herzl Aharoni, Tadahiro Ohmi
IEEE Transactions on Semiconductor Manufacturing 14 (4) 418-420 2001年11月
DOI: 10.1109/66.964329
ISSN:0894-6507
-
The Effect of Organic Contaminations Molecular Weights in the Cleanroom Air on MOS Devices Degradation-a Controlled Laminar Air Flow Experiment 査読有り
Takeshi Ohkawa, Yoshihide Wakayama, Sadao Kobayashi, Shigetoshi Sugawa, Herzl Aharoni, Tadahiro Ohmi
SOLID STATE DEVICES AND MATERIALS 2001 24-25 2001年9月
-
Improved J-E Characteristics and Stress Induced Leakage Currents (SILC) in oxynitride Films Grown at 400℃ by Microwave-Excited High-Density Kr/O2/NH3 Plasma 査読有り
Kazuo Ohtsubo, Yuji Saito, Masaki Hirayama, Shigetoshi Sugawa, Herzl Aharoni, Tadahiro Ohmi
SOLID STATE DEVICES AND MATERIALS 2001 162-163 2001年9月
-
Highly Reliable MOS Trench Gate FET by Oxygen Radical Oxidation 査読有り
Naoki Ueda, Yuji Saito, Masaki Hirayama, Yoshimitsu Yamauchi, Shigetoshi Sugawa, Tadahiro Ohmi
SOLID STATE DEVICES AND MATERIALS 2001 164-165 2001年9月
-
Improved Transconductance and Gate Insulator Integrity OF MISFETs with Si3N4 Gate Dielectric Fablicated by Microwave-Excited High-Density Plasma at 400℃ 査読有り
Ichiro Ohshima, Hiroyuki Shimada, Shin-Ichi Nakao, Weitao Cheng, Yasuhiro Ono, Masaki Hirayama, Shigetoshi Sugawa, Herzl Aharoni, Tadahiro Ohmi
SOLID STATE DEVICES AND MATERIALS 2001 168-169 2001年9月
-
A Comparative Examination of Polyoxide Films Performance Grown by Conventional Dry Thermal (900℃) or Plasma Assisted (400℃) Oxidation Techniques 査読有り
Fuminobu Imaizumi, Tatsufumi Hamada, Shigetoshi Sugawa, Herzl Aharoni, Tadahiro Ohmi
SOLID STATE DEVICES AND MATERIALS 2001 170-171 2001年9月
-
Tantalum nitride metal gate FD-SOI CMOS FETs using low resistivity self-grown bcc-tantalum layer 査読有り
H Shimada, Ohshima, I, T Ushiki, S Sugawa, T Ohmi
IEEE TRANSACTIONS ON ELECTRON DEVICES 48 (8) 1619-1626 2001年8月
DOI: 10.1109/16.936572
ISSN:0018-9383
-
Advances in CFM Related to 300mm Pocessing - Low Temperature Radical Induced Surface Oxidation, Nitridation and Oxinitridation Based on Low Electron Temperature High Density Plasma 査読有り
Tadahiro Ohmi, Shigetoshi Sugawa
SEMICON West 2001 SEMI Technical Symposium(STS):Innovations in Semiconductor Manufacturing 349-396 2001年6月
-
A 3.25M-pixel APS-C size CMOS Image Sensor 査読有り
Shunsuke Inoue, Katsuhito Sakurai, Isamu Ueno, Toru Koizumi, Hiroki Hiyama, Tetsuo Asaba, Shigetoshi Sugawa, Atsushi Maeda, Keiichi Higashitani, Hisayuki Kato, Koji Iizuka, Masao Yamawaki
2001 IEEE Workshop on Charge-Coupled Devices and Advanced Image Sensors 16-19 2001年6月
-
Interconnect and substrate structure for gigascale integration 査読有り
A Morimoto, K Kotani, S Sugawa, T Ohmi
Japanese Journal of Applied Physics 40 (4B) 3038-3043 2001年4月
DOI: 10.1143/JJAP.40.3038
ISSN:0021-4922
-
High Integrity Direct Oxidation / Nitridation at Low Temperatures using Radicals 招待有り 査読有り
Tadahiro Ohmi, Shigetoshi Sugawa, Masaki Hirayama
The 199th Meeting of The Electrochemical Society 2001-1 No. 270 2001年3月
-
New paradigm of silicon technology 査読有り
T Ohmi, S Sugawa, K Kotani, M Hirayama, A Morimoto
PROCEEDINGS OF THE IEEE 89 (3) 394-412 2001年3月
DOI: 10.1109/5.915381
ISSN:0018-9219
-
Improvement of MOSFET subthreshold leakage current by its irradiation with hydrogen radicals generated in microwave-excited high-density inert gas plasma 査読有り
Y Saito, H Takahashi, K Ohtsubo, M Hirayama, S Sugawa, H Aharoni, T Ohmi
39TH ANNUAL PROCEEDINGS: INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM 2001 319-326 2001年
DOI: 10.1109/RELPHY.2001.922922
-
Low Resistivity bcc-Ta/TaNx Metal Gate MNSFETs Having Plane Gate Structure Featuring Fully Low-Temperature Processing below 450℃ 査読有り
Hiroyuki Shimada, Ichiro Ohshima, Shin-Ichi Nakao, Munekatsu Nakagawa, Kei Kanemoto, Masaki Hirayama, Shigetoshi Sugawa, Tadahiro Ohmi
2001 SYMPOSIUM ON VLSI TECHNOLOGY 67-68 2001年
-
Energy saving in semiconductor fabs by using vacuum insulator 査読有り
T Ohmura, O Suenaga, T Ohmi, M Wadasako, T Ohta, S Sugawa
2001 IEEE INTERNATIONAL SYMPOSIUM ON SEMICONDUCTOR MANUFACTURING 2001 477-480 2001年
-
A parallel vector-quantization processor eliminating redundant calculations for real-time motion picture compression 査読有り
T Nozawa, M Konda, M Fujibayashi, M Imai, K Kotani, S Sugawa, T Ohmi
IEEE JOURNAL OF SOLID-STATE CIRCUITS 35 (11) 1744-1751 2000年11月
DOI: 10.1109/4.881222
ISSN:0018-9200
-
Si technology and Devices for the 21st Century 招待有り 査読有り
Tadahiro Ohmi, Shigetoshi Sugawa
Proceedings of the 3rd International Symposium on Advanced Science and technology of Silicon Materials 1-6 2000年11月
-
High-Reliability Ultra-Thin Gate Oxide Grown At Low-Temperature (400℃) Using Microwave-Excited High-Density Krypton Plasma 査読有り
Y.Saito, K.Sekine, M.Hirayama, S.Sugawa, H.Aharoni, T.Ohmi
International Conference on Materials Science and Technologies 43 2000年11月
-
Low Temperature (400℃) Improved Ultra-Thin Oxynitride Films for MOS Gate Insulators 査読有り
Kazuo Ohtsubo, Yuji Saito, Katsuyuki Sekine, Masaki Hirayama, Shigetoshi Sugawa, Herzl Aharoni, Tadahiro Ohmi
International Conference on Materials Science and Technologies 123 2000年11月
-
Microwave-Excited High-Density Plasma System for High-Quality Thin Dielectric Film Growth at Low-Temperatures 査読有り
Y.Saito, Katsuyuki Sekine, Masaki Hirayama, Shigetoshi Sugawa, Herzl Aharoni, Tadahiro Ohmi
International Conference on Materials Science and Technologies 127 2000年11月
-
The Effect of Molecular Weight of Organic Contaminants on their Adsorption on Si-wafers 査読有り
Y.Wakayama, S.Kobayashi, T.Ishii, S.Sugawa, T.Ohmi
AVS 47th International Symposium 232 2000年10月
-
Ultra-Thin Silicon Oxynitrude Film Grown at Low-Temperature by Microwave-Exited High-Density Kr/O2/N2 Plasma 査読有り
K.Ohtsubo, Y.Saito, K.Sekine, M.Hirayama, S.Sugawa, H.Aharoni, T.Ohmi
International Conference on Solid State Device and Materials 176-177 2000年8月
-
Ultra-Low Standby Current in SOI-CMOS LSI Circuits by Using Body-Bias-Control Technology 査読有り
K.Higashi, T.Ohmi, A.O.Adan, H.Morimoto, K.Niimi, T.Ashida, S.Sugawa
International Conference on Solid State Device and Materials 2000 376-377 2000年8月
-
Interconnect and Substrate Structure for High Speed Giga-Scale Integration 査読有り
A.Morimoto, K.Kotani, S.Sugawa, T.Ohmi
International Conference on Solid State Device and Materials 2000 418-419 2000年8月
-
Low Resistivity PVD TaNx/Ta/TaNx Stacked Metal Gate CMOS Technology Using Self-Grown bcc-Phased Tantalum on TaNx Buffer Layer 査読有り
H.Shimada, I.Ohshima, T.Ushiki, S.Sugawa, T.Ohmi
International Conference on Solid State Device and Materials 2000 460-461 2000年8月
-
The Effect of Organic Compounds Contamination on the Electrical Characteristics of Ultra-Thin Gate Oxide Films 査読有り
Y.Wakayama, T.Ohkawa, O.Nakamura, S.Kobayashi, S.Sugawa, H.Aharoni, T.Ohmi
International Conference on Solid State Device and Materials 2000 550-551 2000年8月
-
CONTAMINATION REDUCTION FOR 300mm PROCESSCES 招待有り 査読有り
Tadahiro Ohmi, Shigetoshi Sugawa, Masaki Hirayama, Yasuyuki Shirai
Symposium on Contamination-Free Manufacturing for Semiconductor Processing, SEMICON WEST 2000 A1-A5 2000年7月
-
Ultra Short TAT Semiconductor Manufacturing for Customer's Needs 招待有り 査読有り
Tadahiro Ohmi, Shigetoshi Sugawa
The IT Revolution in Japan and Taiwan, Direction for the 21st Century 128-132 2000年3月
-
マイクロ波励起低温高密度プラズマプロセス
大見忠弘, 須川成利
真空 43 (9) 883-890 2000年
-
マイクロ波励起Kr/O2プラズマによるシリコン酸化膜の低温形成 査読有り
大見 忠弘, 須川 成利, 平山 昌樹, 斉藤 祐司
応用物理 69 (10) 1200-1204 2000年
出版者・発行元: The Japan Society of Applied PhysicsDOI: 10.11470/oubutsu1932.69.1200
ISSN:0369-8009
-
ノイズ除去用CMOS反転アンプ形フレームメモリーを搭載したCMOSエリア形オートフォーカスセンサ 査読有り
高橋秀和, 篠原真人, 須川成利
映像情報メディア学会誌 54 (2) 229-241 2000年
DOI: 10.3169/itej.54.229
-
Advantage of radical oxidation for improving reliability of ultra-thin gate oxide 査読有り
Y Saito, K Sekine, N Ueda, M Hirayama, S Sugawa, T Ohmi
2000 SYMPOSIUM ON VLSI TECHNOLOGY, DIGEST OF TECHNICAL PAPERS 176-177 2000年
-
Damage-free contact etching using balanced electron drift magnetron etcher 査読有り
R Kaihara, M Hirayama, S Sugawa, T Ohmi
ISSM 2000: NINTH INTERNATIONAL SYMPOSIUM ON SEMICONDUCTOR MANUFACTURING, PROCEEDINGS 102-105 2000年
-
Area Auto Focus CMOS Sensor 査読有り
Hidekazu Takahashi, Taku Ezaki, Mahito Shinohara, Shirou Furudate, Hiroyuki Nakamura, Toshihiko Ichise, Shigetoshi Sugawa
1999 IEEE Workshop on Charge-Coupled Devices and Advanced Image Sensors 203-206 1999年6月
-
A bipolar image detector with smart functions 査読有り
M Shinohara, S Sugawa, Y Nakamura, T Ohmi
IEEE TRANSACTIONS ON ELECTRON DEVICES 44 (10) 1769-1776 1997年10月
DOI: 10.1109/16.628835
ISSN:0018-9383
-
A bipolar imager with bipolar field memory 査読有り
Mahito Shinohara, Shigetoshi Sugawa, Yoshio Nakamura, Tadahiro Ohmi
1997 IEEE Workshop on Charge-Coupled Devices and Advanced Image Sensors R8-1-R8-4 1997年6月
-
Amorphous avalanche photodiode with large conduction band edge discontinuity 査読有り
S Sugawa, H Kozuka, T Atoji, H Tokunaga, H Shimizu, K Ohmi
JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS 35 (2B) 1014-1017 1996年2月
DOI: 10.1143/JJAP.35.1014
ISSN:0021-4922
-
An Amorphous Avalanche Photo-Diode with a Large Conduction band Edge Discontinuity 査読有り
Shigetoshi Sugawa, Hiraku Kozuka, Tadashi Atoji, Hiroyuki Tokunaga, Hisae Shimizu, Kazuaki Ohmi
International Conference on Solid State Device and Materials 1995 938-940 1995年8月
-
AN EXPERIMENTAL OBSERVATION OF PHOTO-INDUCED CARRIER MULTIPLICATION IN HYDROGENATED AMORPHOUS SILICON 査読有り
S.Sugawa, K.Ohmi, M.Yamanobe, Y.Osada
Solid State Communications 80 (6) 373-376 1991年
DOI: 10.1016/0038-1098(91)90709-5
ISSN:0038-1098
-
A 310K PIXEL BIPOLAR IMAGER (BASIS) 査読有り
N TANAKA, S HASHIMOTO, M SHINOHARA, S SUGAWA, M MORISHITA, S MATSUMOTO, Y NAKAMURA, T OHMI
IEEE TRANSACTIONS ON ELECTRON DEVICES 37 (4) 964-971 1990年4月
DOI: 10.1109/16.52431
ISSN:0018-9383
-
A 310K Pixel Bipolar Imager (BASIS) 査読有り
Nobuyoshi Tanaka, Seiji Hashimoto, Mahito Shinohara, Shigetoshi Sugawa, Masakazu Morishita, Shigeyuki Matsumoto, Yoshio Nakamura, Tadahiro Ohmi
1989 IEEE International Solid-State Circuits Conference 96-97 1989年2月
MISC 270
-
高 SNR・高速グローバルシャッタ CMOS イメージセンサによるリアルタイム流体濃度分布計測
間脇 武蔵, 村冨 孝輔, 荻野 晃汰, 酒井 勇志, 稲田 貴郁, 橋本 圭市, 森本 達郎, 諏訪 智之, 白井 泰雪, 須川 成利, 黒田 理人
第 36 回マイクロエレクトロニクス研究会プロシーディング 29-34 2024年11月
-
高速・高 SNR 吸光イメージングシステムによる真空チャンバ内のガス濃度分布計測および解析
酒井勇志, 稲田貴郁, 間脇武蔵, 諏訪智之, 森本達郎, 白井泰雪, 須川成利, 黒田理人
電子情報通信学会技術研究報告, シリコン材料・デバイス研究会 124 (222) 10-3 2024年10月
-
Impedance Measurement Platform Technology Toward Statistical Evaluation of Semiconductor Devices(半導体素子の統計的評価に向けたインピーダンス計測プラットフォーム技術)
Koga Saito, Tatsuhiko Suzuki, Hidemi Mitsuda, Takezo Mawaki, Tomoyuki, Suwa, Akinobu Teramoto, Shigetoshi Sugawa, Rihito Kuroda
第35回マイクロエレクトロニクス研究会プロシーディング 33-39 2023年11月
-
Measurement of TEMAZ concentration in process chamber by UV absorption method(紫外光吸光法によるプロセスチャンバー内のTEMAZ濃度計測)
Takafumi Inada, Yushi Sakai, Akihito Suto, Tatsuo Morimoto, Tomoyuki Suwa, Yasuyuki Shirai, Shigetoshi Sugawa, Rihito Kuroda
第35回マイクロエレクトロニクス研究会プロシーディング 29-31 2023年11月
-
抵抗計測プラットフォームを用いた HfOx 膜抵抗変化の統計的計測
光田薫未, 鈴木達彦, 齊藤宏河, 間脇武蔵, 須川成利, 黒田理人
第 84 回応用物理学会秋季学術講演会 23a-A303-4 12-245 2023年9月
-
電流計測プラットフォームを用いた高容量密度トレンチキャパシタのトラップ特性に関する統計的計測
鈴木達彦, 齊藤宏河, 光田薫未, 間脇武蔵, 須川成利, 黒田理人
第 84 回応用物理学会秋季学術講演会 23a-A303-3 12-244 2023年9月
-
インピーダンス計測プラットフォーム技術を用いた機能性薄膜に関する電気的特性の統計的計測 招待有り
齊藤宏河, 鈴木達彦, 光田薫未, 間脇武蔵, 諏訪智之, 寺本章伸, 須川成利, 黒田理人
第 84 回応用物理学会秋季学術講演会 23a-A303-1 12-242 2023年9月
-
MONOS 型低温ポリシリコン TFT におけるしきい値電圧制御
後藤 哲也, 諏訪 智之, 片山 慶太, 西田 脩, 池上 浩, 須川 成利
第 84 回応用物理学会秋季学術講演会 20p-B201-7 12-088 2023年9月
-
イメージセンサ技術を究める
須川成利
東北大学電通談話会記録 91 (2) 11-27 2023年3月
-
MONOS 型ポリシリコン TFT でのしきい値電圧制御に関する検討
後藤 哲也, 諏訪 智之, 須川 成利
第 70 回応用物理学会春季学術講演会 講演予稿集 (15p-B410-5) 12-016 2023年3月
-
ランダムテレグラフノイズのMOSトランジスタ構造・動作条件依存性の統計的解析
間脇武蔵, 黒田理人, 秋元瞭, 須川成利
第28回電子デバイス界面テクノロジー研究会 141-145 2023年2月
-
インピーダンス計測プラットフォーム技術を用いたSiN膜中トラップ特性の統計的計測
齊藤宏河, 鈴木達彦, 光田薫未, 間脇武蔵, 諏訪智之, 寺本章伸, 須川成利, 黒田理人
第28回電子デバイス界面テクノロジー研究会 89-94 2023年2月
-
トリシランを用いたミニマルファブ向けポリシリコンゲート電極のCVD 成膜に関する検討
後藤 哲也, 小林 誠二, タイ クオック クオン, 薮田 勇気, 須川 成利, 原 史朗
第83回応用物理学会秋季学術講演会 講演予稿集 20p-A406-8 2022年9月
-
近接容量センサを用いた高精度微小容量差計測
渡部吉祥, 須釜侑希, 志波良信, 黒田理人, 白井泰雪, 須川成利
映像情報メディア学会技術報告 46 (23) 71-76 2022年8月
-
流体濃度分布その場計測へ向けた1000 fps高SNRグローバルシャッタCMOSイメージセンサ
及川哲, 黒田理人, 髙橋圭吾, 志波良信, 藤原康行, 四家寛也, 村田真麻, 郭家祺, Yhang Ricardo, Sipauba Carvalho, da Silva, 後藤哲也, 諏訪智之, 森本達郎, 白井泰雪, 永瀬正明, 池田信一, 須川成利
映像情報メディア学会技術報告 45 (30) 17-20 2021年10月
-
大判12µm画素と高解像度2.8µm画素の高精度近接容量CMOSイメージセンサ
須釜 侑希, 渡部 吉祥, 黒田 理人, 山本 将大, 後藤 哲也, 安田 俊朗, 村上 真一, 羽森 寛, 栗山 尚也, 須川 成利
映像情報メディア学会技術報告 45 (30) 13-16 2021年10月
-
電流計測プラットフォームを応用したSiN膜中トラップ放電電流の統計的計測
齊藤 宏河, 鈴木 勇人, 朴 賢雨, 黒田 理人, 寺本 章伸, 諏訪 智之, 須川 成利
電子情報通信学会技術研究報告 121 (212) 23-26 2021年10月
-
トランジスタ構造・動作領域・キャリア走行方向によるRTN挙動の統計的解析
秋元暸, 黒田理人, 黒田理人, 間脇武蔵, 須川成利
電子情報通信学会技術研究報告(Web) 121 (212(SDM2021 44-52)) 27-32 2021年10月
ISSN: 2432-6380
-
ミニマルファブ用ミラー磁場閉じ込めプラズマCVD装置によるジクロロシランガスを用いたシリコン窒化膜形成
後藤 哲也, 小林 誠二, タイ, クオック クオン, 薮田 勇気, 須川 成利, 原 史朗
第82回応用物理学会秋季学術講演会 講演予稿集 12a-N323-6 2021年9月
-
サブaFの精度を有する高解像度・リアルタイム近接容量CMOSイメージセンサの開発
黒田理人, 須釜侑希, 渡部吉祥, 後藤哲也, 須川成利
映像情報メディア学会技術報告・情報センシング研究会 45 (21) 1-4 2021年8月
-
Effect of dilute sulfuric acid on photoresist removal by ozone microbubbles 招待有り
高橋正好, 堀邉英夫, 田寺克己, 宮崎紳介, 白井泰雪, 須川成利
第 38 回 国際フォトポリマー学会 B2-04 2021年6月
-
イソプロピルアルコールを用いた金属銅及び酸化銅上の表面改質
間脇 武蔵, 寺本 章伸, 石井 勝利, 志波 良信, 諏訪 智之, 東雲 秀司, 清水 亮, 梅澤 好太, 黒田 理人, 白井 泰雪, 須川 成利
電子情報通信学会技術研究報告 121 (71) 1-6 2021年6月
-
IPAを用いた銅・酸化銅上の表面改質
間脇武蔵, 寺本章伸, 石井勝利, 志波良信, 諏訪智之, 東雲秀司, 清水亮, 梅澤好太, 黒田理人, 白井泰雪, 須川成利
電子情報通信学会技術研究報告(Web) 121 (71(SDM2021 22-29)) 2021年
ISSN: 2432-6380
-
高密度容量を用いたアナログ相関多重サンプリングによるCMOSイメージセンサのノイズ低減
鴨志田俊太, 鈴木学, 黒田理人, 黒田理人, 須川成利
映像情報メディア学会技術報告 45 (11(IST2021 8-21)) 2021年
ISSN: 1342-6893
-
45μm厚裏面照射型フォトダイオード・2段LOFICを有する広ダイナミックレンジ軟X線検出グローバルシャッタCMOSイメージセンサ
四家寛也, 黒田理人, 黒田理人, 黒田理人, 小林諒太, 村田真麻, 藤原康行, 鈴木学, 原田将真, 柴口拓, 栗山尚也, 初井宇記, 宮脇淳, 宮脇淳, 宮脇淳, 原田哲男, 山崎裕一, 山崎裕一, 渡邊健夫, 原田慈久, 原田慈久, 須川成利, 須川成利
映像情報メディア学会技術報告 45 (11(IST2021 8-21)) 2021年
ISSN: 1342-6893
-
A Study on High Full Well Capacity Wide Dynamic Range Wide Spectral Response CMOS Image Sensor and Its Applications (高飽和・広ダイナミックレンジ・広光波長帯域CMOSイメージセンサとその応用に関する研究)
藤原康行, 村田真麻, 中山翔太, 黒田理人, 須川成利
第32回マイクロエレクトロニクス研究会プロシーディング 41-44 2020年11月
-
MRAM用MgO薄膜の信頼性に関する研究
諏訪 智之, 土本 淳一, 寺本 章伸, 後藤 哲也, 朴 賢雨, 須川 成利
第32回マイクロエレクトロニクス研究会プロシーディング 45-53 2020年11月
-
超小型紫外線センシングSiフォトダイオード・イメージセンサー技術 (特集 紫外線光デバイス開発と実用化の期待)
黒田 理人, da Silva Yhang Ricardo Sipauba Carvalho, 須川 成利
Optronics : 光技術コーディネートジャーナル 39 (6) 77-82 2020年6月
出版者・発行元: オプトロニクス社ISSN: 0286-9659
-
トレンチ型2段LOFICを有する単一露光・線形応答・120dB超広ダイナミックレンジCMOSイメージセンサ (情報センシング)
藤原 康行, 村田 真麻, 中山 翔太, 黒田 理人, 須川 成利
映像情報メディア学会技術報告 = ITE technical report 44 (11) 81-84 2020年3月
出版者・発行元: 映像情報メディア学会ISSN: 1342-6893
-
YOF コーティングのプラズマ耐性 招待有り
後藤 哲也, 志波 良信, 寺本 章伸, 岸 幸男, 須川 成利
応用物理学会シリコンテクノロジー分科会 第 223 回研究集会 2020年2月
-
注入ゲート導入型ノーマリオフFloating Gate GaN HEMTの動作原理と構造 (電子デバイス)
南雲 謙志, 木本 大幾, 諏訪 智之, 寺本 章伸, 白田 理一郎, 高谷 信一郎, 黒田 理人, 須川 成利
電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 119 (408) 55-58 2020年1月31日
出版者・発行元: 電子情報通信学会ISSN: 0913-5685
-
注入ゲート導入型ノーマリオフFloating Gate GaN HEMTの動作原理と構造 (マイクロ波)
南雲 謙志, 木本 大幾, 諏訪 智之, 寺本 章伸, 白田 理一郎, 高谷 信一郎, 黒田 理人, 須川 成利
電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 119 (409) 55-58 2020年1月31日
出版者・発行元: 電子情報通信学会ISSN: 0913-5685
-
高精度電流計測アレイテスト回路を用いたMIM素子の大規模測定
鈴木勇人, PARK Hyeonwoo, 寺本章伸, 寺本章伸, 黒田理人, 黒田理人, 諏訪智之, 須川成利, 須川成利
応用物理学会春季学術講演会講演予稿集(CD-ROM) 67th 2020年
-
統計的計測によるドレイン-ソース間電圧がランダムテレグラフノイズに与える影響の解析
秋元瞭, 黒田理人, 黒田理人, 寺本章伸, 寺本章伸, 間脇武蔵, 市野真也, 諏訪智之, 須川成利
電子情報通信学会技術研究報告(Web) 120 (205(SDM2020 14-21)) 2020年
ISSN: 2432-6380
-
IPAを用いた銅・酸化銅上の表面改質
間脇武蔵, 寺本章伸, 石井勝利, 志波良信, 諏訪智之, 東雲秀司, 清水亮, 梅澤好太, 黒田理人, 白井泰雪, 須川成利
電子情報通信学会技術研究報告(Web) 120 (205(SDM2020 14-21)) 2020年
ISSN: 2432-6380
-
3次元積層に向けた高容量密度・高耐圧SiN絶縁膜粗面トレンチキャパシタの開発
齊藤宏河, 吉田彩乃, 黒田理人, 黒田理人, 柴田寛, 柴口拓, 栗山尚也, 須川成利
電子情報通信学会技術研究報告(Web) 120 (205(SDM2020 14-21)) 2020年
ISSN: 2432-6380
-
高SN比CMOS吸光イメージセンサによる半導体プロセスチャンバー内ガス濃度分布計測 (シリコン材料・デバイス)
髙橋 圭吾, da Silva Yhang Ricardo Sipauba Carvalho, 黒田 理人, 藤原 康行, 村田 真麻, 石井 秀和, 森本 達郎, 諏訪 智之, 寺本 章伸, 須川 成利
電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 119 (239) 65-68 2019年10月24日
出版者・発行元: 電子情報通信学会ISSN: 0913-5685
-
高速ビデオカメラを用いたマグネトロンスパッタリングプラズマの揺動現象の観察 (シリコン材料・デバイス)
山崎 森太郎, 後藤 哲也, 鈴木 学, 黒田 理人, 須川 成利
電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 119 (239) 69-72 2019年10月24日
出版者・発行元: 電子情報通信学会ISSN: 0913-5685
-
次世代メモリ材料の高精度統計評価を行う抵抗測定プラットフォーム (シリコン材料・デバイス)
前田 健, 大村 裕弥, 黒田 理人, 寺本 章伸, 諏訪 智之, 須川 成利
電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 119 (239) 59-64 2019年10月23日
出版者・発行元: 電子情報通信学会ISSN: 0913-5685
-
高SN比吸光イメージングによる真空チャンバー内ガス濃度分布計測
高橋圭吾, DA SILVA Yhang Ricardo Sipauba, 沼尾直毅, 黒田理人, 藤原康行, 村田真麻, 石井秀和, 森本達郎, 森本達郎, 諏訪智之, 寺本章伸, 須川成利, 須川成利
映像情報メディア学会技術報告 43 (18(IST2019 35-39)) 11‐14-14 2019年6月18日
出版者・発行元: 映像情報メディア学会ISSN: 1342-6893
-
0.1aFの検出精度を有するCMOS近接容量イメージセンサ
山本将大, 黒田理人, 鈴木学, 後藤哲也, 羽森寛, 村上真一, 安田俊朗, 横道やよい, 須川成利, 須川成利
映像情報メディア学会技術報告 43 (11(IST2019 12-22)) 49‐54-54 2019年3月15日
出版者・発行元: 映像情報メディア学会ISSN: 1342-6893
-
横型オーバーフロー蓄積トレンチ容量を有する飽和電子数2430万個・近赤外高感度CMOSイメージセンサ
村田真麻, 黒田理人, 藤原康行, 大塚雄介, 柴田寛, 柴口拓, 鎌田浩, 三浦規之, 栗山尚也, 須川成利
映像情報メディア学会技術報告 43 (11(IST2019 12-22)) 27‐32-32 2019年3月15日
出版者・発行元: 映像情報メディア学会ISSN: 1342-6893
-
先進半導体センサ・デバイス開発プロジェクトの展開
須川成利
第30回マイクロエレクトロニクス研究会プロシーディング 53-63 2018年11月
-
ソースとドレインが非対称のMOSFETを用いた電気的特性ばらつきの統計的解析
市野真也, 寺本章伸, 黒田理人, 間脇武蔵, 諏訪智之, 須川成利, 須川成利
電子情報通信学会技術研究報告 118 (241(SDM2018 52-63)) 51‐56 2018年10月10日
ISSN: 0913-5685
-
バーストCDS動作を用いた撮影速度1億2500万コマ/秒の高速CMOSイメージセンサ
鈴木学, 黒田理人, 須川成利
映像情報メディア学会技術報告 42 (30(IST2018 47-52)) 5‐8-8 2018年9月18日
出版者・発行元: 映像情報メディア学会ISSN: 1342-6893
-
ミニマルファブ用ミラー磁場閉じ込めプラズマCVD装置によるシリコン窒化膜形成
後藤 哲也, 小林 誠二, 薮田 勇気, 須川 成利, 原 史朗
第79回応用物理学会秋季学術講演会 講演予稿集 21a-233-12 2018年9月
-
高紫外光感度・高飽和CMOSイメージセンサを用いたサブppmオーダのオゾン水対流のイメージング
村田真麻, 藤原康行, 青柳雄介, 黒田理人, 須川成利
映像情報メディア学会技術報告 42 (19(IST2018 31-42)) 13‐16-16 2018年6月20日
出版者・発行元: 映像情報メディア学会ISSN: 1342-6893
-
最高撮像速度5000万コマ/秒を有するプロトタイプグローバルシャッタ高速CMOSイメージセンサ
鈴木学, 鈴木将, 黒田理人, 須川成利
映像情報メディア学会技術報告 42 (10(IST2018 11-25)) 39‐42-42 2018年3月2日
出版者・発行元: 映像情報メディア学会ISSN: 1342-6893
-
プラズマプロセスチャンバで使用されるYF3とYOFの耐腐食性
志波 良信, 寺本 章伸, 後藤 哲也, 岸 幸男, 白井 泰雪, 須川 成利
第29回マイクロエレクトロニクス研究会プロシーディング 29-32 2017年11月
-
半導体・電子デバイス製造プロセス用、高感度・小型リアルタイムガス濃度計に関する研究
石井 秀和, 永瀬 正明, 池田 信一, 志波 良信, 白井 泰雪, 黒田 理人, 須川 成利
第29回マイクロエレクトロニクス研究会プロシーディング 24-28 2017年11月
-
Experimental Investigation of Localized Stress Induced Leakage Current Distribution and Its Decrease by Atomically Flattening Process (シリコン材料・デバイス)
朴 賢雨, 黒田 理人, 後藤 哲也, 諏訪 智之, 寺本 章伸, 木本 大幾, 須川 成利
電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 117 (260) 9-14 2017年10月25日
出版者・発行元: 電子情報通信学会ISSN: 0913-5685
-
紫外吸光とチャージアンプ回路を用いた高感度・小型リアルタイムガス濃度計 (シリコン材料・デバイス)
石井 秀和, 永瀬 正明, 池田 信一, 志波 良信, 白井 泰雪, 黒田 理人, 須川 成利
電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 117 (260) 35-38 2017年10月25日
出版者・発行元: 電子情報通信学会ISSN: 0913-5685
-
高精度アレイテスト回路計測技術を用いたソースフォロアトランジスタの動作条件変化によるランダムテレグラフノイズの挙動解析
市野真也, 間脇武蔵, 寺本章伸, 黒田理人, 若嶋駿一, 須川成利, 須川成利
電子情報通信学会技術研究報告 117 (260(SDM2017 50-60)) 57‐62 2017年10月18日
ISSN: 0913-5685
-
画素SFで発生するランダムテレグラフノイズの統計的解析~トランジスタ形状・時定数・遷移数の影響~
黒田理人, 寺本章伸, 市野真也, 間脇武蔵, 若嶋駿一, 須川成利
映像情報メディア学会技術報告 41 (32(IST2017 49-59)) 13‐16-16 2017年9月25日
出版者・発行元: 映像情報メディア学会ISSN: 1342-6893
-
ミニマルファブ用ミラー磁場閉じ込めプラズマ源を用いたシリコン窒化膜形成
後藤 哲也, 佐藤 恵一朗, 薮田 勇気, 須川 成利, 原 史朗
第78回応用物理学会秋季学術講演会 講演予稿集 6p-C21-7 2017年9月
-
Cameras with on-chip memory CMOS image sensors
Rihito Kuroda, Shigetoshi Sugawa
The Micro-World Observed by Ultra High-Speed Cameras: We See What You Don't See 103-124 2017年8月30日
DOI: 10.1007/978-3-319-61491-5_5
-
高速CMOSイメージセンサ技術の歩み
須川成利, 鈴木学, 鈴木将, 黒田理人
映像情報メディア学会年次大会講演予稿集(CD-ROM) 2017 ROMBUNNO.S5‐2 2017年8月16日
ISSN: 1880-6961
-
高密度アナログメモリを搭載した超高速グローバルシャッタCMOSイメージセンサ
鈴木学, 鈴木将, 黒田理人, 熊谷勇喜, 千葉亮, 三浦規之, 栗山尚也, 須川成利
映像情報メディア学会技術報告 41 (10(IST2017 8-19)) 7‐10-10 2017年3月3日
出版者・発行元: 映像情報メディア学会ISSN: 1342-6893
-
ミニマルファブ用新規磁場閉じ込め型ECR プラズマ源を用いたシリコン窒化膜形成
後藤 哲也, 佐藤 恵一朗, 薮田 勇気, 須川 成利, 原 史朗
第64回応用物理学会春季学術講演会 講演予稿集 16a-E206-3 2017年3月
-
急峻pn接合Siダイオード技術を用いた高感度・高速性能低加速電圧電子線検出器 (情報センシング)
黒田 理人, 幸田 安真, 原 昌也, 角田 博之, 須川 成利
映像情報メディア学会技術報告 = ITE technical report 41 (10) 39-42 2017年3月
出版者・発行元: 映像情報メディア学会ISSN: 1342-6893
-
Siイオン注入がIGZO薄膜に与える影響
後藤哲也, 今泉文伸, 須川成利
第64回応用物理学会春季学術講演会 16a-502-8 3967-3967 2017年3月
出版者・発行元: 公益社団法人 応用物理学会DOI: 10.11470/jsapmeeting.2017.1.0_3967
eISSN: 2436-7613
-
Spectral Absorption Imaging with an Over 70dB SNR CMOS Image Sensor
村田 真麻, 藤原 康行, 青柳 雄介, 黒田 理人, 須川 成利
電気関係学会東北支部連合大会講演論文集 2017 (0) 16-16 2017年
出版者・発行元: 電気関係学会東北支部連合大会実行委員会 -
撮像速度1000万コマ/秒を超える高速度CMOSイメージセンサ技術の進展
黒田理人, 鈴木学, 鈴木将, 須川成利
高速度イメージングとフォトニクスに関する総合シンポジウム講演論文集(CD-ROM) 2017 ROMBUNNO.3‐2 2017年
-
CMOSイメージセンサの極限性能の追究
須川成利
第28回マイクロエレクトロニクス研究会プロシーディング 35-42 2016年11月
-
動作電圧変化時の過渡状態におけるランダムテレグラフノイズの挙動に関する研究
間脇武蔵, 寺本章伸, 黒田理人, 市野真也, 後藤哲也, 諏訪智之, 須川成利, 須川成利
電子情報通信学会技術研究報告 116 (270(SDM2016 69-78)) 35‐38 2016年10月19日
ISSN: 0913-5685
-
原子層堆積法で成膜したAl2O3膜界面に及ぼす酸化種の影響
齋藤雅也, 諏訪智之, 寺本章伸, 黒田理人, 幸田安真, 杉田久哉, 林真里恵, 土本淳一, 石井秀和, 志波良信, 白井泰雪, 須川成利
電子情報通信学会技術研究報告 116 (270(SDM2016 69-78)) 27‐30-30 2016年10月19日
出版者・発行元: 電子情報通信学会ISSN: 0913-5685
-
高濃度ドーピングされた(100)方位SOIウェーハに対するSi選択エピタキシャル成長後の平坦な表面形成技術
古川 貴一, 寺本 章伸, 黒田 理人, 諏訪 智之, 橋本 圭市, 須川 成利, 鈴木 大介, 千葉 洋一郎, 石井 勝利, 清水 亮, 長谷部 一秀
電子情報通信学会技術研究報告 116 (270) 9-14 2016年10月
出版者・発行元: 電子情報通信学会ISSN: 0913-5685
-
画素毎の接続を用いた画素内に横型オーバーフロー蓄積容量およびAD変換器を有する露光時間途切れのないグローバルシャッタ積層型CMOSイメージセンサ
黒田理人, 須郷秀武, 若嶋駿一, 須川成利
映像情報メディア学会技術報告 40 (32) 11-14 2016年9月
DOI: 10.11485/itetr.40.32.0_11
-
永久磁石を用いたミラー磁場閉じ込めECR プラズマ源
後藤 哲也, 佐藤 恵一朗, 薮田 勇気, 須川 成利
第77回応用物理学会秋季学術講演会 講演予稿集 15a-B7-9 2016年9月
-
映像情報メディア年報2015シリーズ(第9回)情報センシングの研究開発動向
須川 成利, 大竹 浩, 池辺 将之, 佐藤 俊明, 小林 昌弘, 黒田 理人, 浜本 隆之, 小室 孝, 德田 崇, 山下 誉行, 綱井 史郎, 廣瀬 裕, 赤井 大輔, 山本 洋夫
映像情報メディア学会誌 70 (4) 609-622 2016年7月
DOI: 10.3169/itej.70.609
-
高光耐性・広ダイナミックレンジCMOSイメージセンサを用いた紫外分光イメージング
藤原康行, 那須野悟史, 若嶋駿一, 楠原史章, 石井秀和, 黒田理人, 須川成利
映像情報メディア学会技術報告 40 (15(IST2016 21-29)) 13‐16-16 2016年4月29日
出版者・発行元: 一般社団法人 映像情報メディア学会DOI: 10.11485/itetr.40.15.0_13
ISSN: 1342-6893
-
シリコンフォトダイオードを用いた分光感度差分型紫外線センサ
DA SILVA Yhang Ricardo, Sipauba Carvalho, 幸田安真, 那須野悟史, 黒田理人, 須川成利
映像情報メディア学会技術報告 40 (15(IST2016 21-29)) 5‐8-8 2016年4月29日
出版者・発行元: 一般社団法人 映像情報メディア学会ISSN: 1342-6893
-
常用光感度をISO16000に高めた最高撮影速度1000万コマ/秒の高速度ビデオカメラによる高速現象の可視化
鈴木学, 鈴木将, SHAO Fan, 黒田理人, 徳岡信行, 川口泰範, 冨永秀樹, 須川成利
映像情報メディア学会技術報告 40 (12(IST2016 7-20)) 25‐28-28 2016年3月4日
出版者・発行元: 一般社団法人 映像情報メディア学会DOI: 10.11485/itetr.40.12.0_25
ISSN: 1342-6893
-
Dynamic Response of Random Telegraph Noise Time Constants toward Bias Voltage Changing
間脇 武蔵, 寺本 章信, 市野 真也, 黒田 理人, 後藤 哲也, 諏訪 智之, 須川 成利
電気関係学会東北支部連合大会講演論文集 2016 (0) 64-64 2016年
出版者・発行元: 電気関係学会東北支部連合大会実行委員会 -
高い選択比をもつSiNxエッチングガスを用いたFinFET構造の作製
小尻尚志, 小尻尚志, 諏訪智之, 橋本圭市, 寺本章伸, 黒田理人, 須川成利, 須川成利
電子情報通信学会技術研究報告 115 (362(EID2015 9-24)) 1‐4 2015年12月7日
ISSN: 0913-5685
-
BiFeO3薄膜への酸素ラジカル処理と低温結晶化技術
今泉 文伸, 後藤 哲也, 寺本 章伸, 須川 成利
第27回マイクロエレクトロニクス研究会プロシーディング 35-38 2015年11月
-
トンネル電流・拡散電流併用MOSFETのデバイスシミュレーション検討
古川貴一, 寺本章伸, 黒田理人, 諏訪智之, 橋本圭市, 小尻尚志, 須川成利, 須川成利
電子情報通信学会技術研究報告 115 (280(SDM2015 71-83)) 35-40 2015年10月22日
ISSN: 0913-5685
-
高精度ガス制御器を用いたAl2O3のALD成膜におけるプロセス温度の検討
杉田久哉, 幸田安真, 諏訪智之, 黒田理人, 後藤哲也, 石井秀和, 山下哲, 寺本章伸, 須川成利, 須川成利, 大見忠弘
電子情報通信学会技術研究報告 115 (280(SDM2015 71-83)) 63-68 2015年10月22日
ISSN: 0913-5685
-
窒素添加LaB6ターゲットによる低仕事関数LaB6スパッタ薄膜の形成
石井秀和, 高橋健太郎, 後藤哲也, 須川成利, 大見忠弘
電子情報通信学会技術研究報告 115 (280) 53-56 2015年10月
-
酸素ラジカル処理を用いた強誘電体BiFeO3薄膜の形成技術
今泉文伸, 後藤哲也, 寺本章伸, 須川成利
電子情報通信学会技術研究報告 115 (280) 41-44 2015年10月
-
Xe/H2プラズマを用いたシリコン基板表面の低温平坦化技術
諏訪智之, 寺本章伸, 後藤哲也, 平山昌樹, 須川成利, 大見忠弘
電子情報通信学会技術研究報告 115 (280) 13-16 2015年10月
-
フローティングディフュージョン容量成分の解析・低減技術と高感度・高飽和CMOSイメージセンサへの適用
楠原史章, 若嶋駿一, 那須野悟史, 黒田理人, 須川成利
映像情報メディア学会技術報告 39 (35(IST2015 43-56)) 53‐56-56 2015年9月11日
出版者・発行元: 一般社団法人 映像情報メディア学会ISSN: 1342-6893
-
フェローの受賞にあたって(1)(選奨受賞者からのメッセージ,特別寄稿)
須川 成利
映像情報メディア学会誌 : 映像情報メディア 69 (7) 643-643 2015年9月1日
出版者・発行元: 一般社団法人映像情報メディア学会ISSN: 1881-6908
-
ゲート絶縁膜/Si界面の原子オーダー平坦化によるランダムテレグラフノイズ低減効果
黒田理人, 小原俊樹, 後藤哲也, 赤川直也, 木本大幾, 寺本章伸, 須川成利
映像情報メディア学会技術報告 39 (17(IST2015 24-34)) 35-38 2015年5月1日
出版者・発行元: 一般社団法人 映像情報メディア学会ISSN: 1342-6893
-
浮遊容量負荷読み出しを用いたCMOSイメージセンサの読み出しゲインと線形範囲向上効果
若嶋駿一, 楠原史章, 黒田理人, 須川成利
映像情報メディア学会技術報告 39 (16(IST2015 10-23)) 41-44 2015年3月20日
出版者・発行元: 一般社団法人 映像情報メディア学会ISSN: 1342-6893
-
ラジカル窒化法により形成したSi₃N₄/Si界面に形成される組成遷移層に関する研究 (シリコン材料・デバイス)
諏訪 智之, 寺本 章伸, 須川 成利, 大見 忠弘
電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 114 (255) 31-34 2014年10月16日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
Introduction of Atomically Flattening of Silicon Surface in Shallow Trench Isolation Process Technology (シリコン材料・デバイス)
後藤 哲也, 黒田 理人, 赤川 直矢, 諏訪 智之, 寺本 章伸, 李 翔, 小原 俊樹, 木本 大幾, 須川 成利, 大見 忠弘, 熊谷 勇喜, 鎌田 浩, 渋沢 勝彦
電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 114 (255) 7-12 2014年10月16日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
MOSFETにおけるランダムテレグラフノイズを引き起こすトラップ密度の解析に関する研究
小原俊樹, 寺本章伸, 黒田理人, 米澤彰浩, 後藤哲也, 諏訪智之, 須川成利, 大見忠弘
電子情報通信学会技術研究報告 114 (255(SDM2014 84-95)) 55-59 2014年10月9日
ISSN: 0913-5685
-
Chemical Structure of Interfacial Transition Layer Formed on Si(100) and Its Dependence on Oxidation Temperature, Annealing in Forming Gas, and Difference in Oxidizing Species
諏訪智之, 寺本章伸, 熊谷勇喜, 阿部健一, 李翔, 中尾幸久, 山本雅士, 野平博司, 室隆, 桂之, 木下豊彦, 須川成利, 大見忠弘, 服部健雄
第 75 回応用物理学会秋季学術講演会 講演予稿集 19a-17-6 2014年9月
-
招待講演 極限性能を追求する高速,高感度CMOSイメージセンサ技術 (情報センシング)
黒田 理人, 須川 成利
映像情報メディア学会技術報告 = ITE technical report 38 (26) 37-44 2014年7月
出版者・発行元: 映像情報メディア学会ISSN: 1342-6893
-
極限性能を追求する高速,高感度CMOSイメージセンサ技術
黒田理人, 須川成利
電子情報通信学会技術研究報告 114 (120(ICD2014 19-30)) 37-44 2014年6月26日
ISSN: 0913-5685
-
最高撮像速度10MfPsの高速度ビデオカメラによるMOSキャパシタの絶縁膜破壊現象の解析
SHO Han, 木本大幾, 古川貴一, 須郷秀武, 竹田徹, 宮内健, 栃木靖久, 黒田理人, 須川成利
映像情報メディア学会技術報告 38 (20(IST2014 18-28)) 13-16 2014年5月26日
出版者・発行元: 一般社団法人 映像情報メディア学会ISSN: 1342-6893
-
高速・高紫外光照射耐性を有する吸光・発光用リニアアレイセンサ
阿久津貴弘, 川田峻, 幸田安真, 中澤泰希, 黒田理人, 須川成利
映像情報メディア学会技術報告 38 (20(IST2014 18-28)) 17-20 2014年5月26日
出版者・発行元: 一般社団法人 映像情報メディア学会ISSN: 1342-6893
-
20Mfpsの撮像速度を有する超高速CMOSイメージセンサの画素構造
須川成利, 宮内健, 竹田徹, 半澤克彦, 栃木靖久, 酒井伸, 黒田理人, 冨永秀樹, 広瀬竜太, 田窪健二, 近藤泰志
映像情報メディア学会技術報告 38 (15(IST2014 8-17)) 19-22 2014年3月7日
出版者・発行元: 一般社団法人 映像情報メディア学会ISSN: 1342-6893
-
ランダムテレグラフノイズ時定数の動作条件依存性の統計的解析
黒田理人, 米澤彰浩, 小原俊樹, 寺本章伸, 須川成利
映像情報メディア学会技術報告 38 (15(IST2014 8-17)) 15-18 2014年3月7日
出版者・発行元: 一般社団法人 映像情報メディア学会ISSN: 1342-6893
-
Development of Ultraclean Surface Processing for Gallium Nitride(窒化ガリウムの超清浄表面処理の開発)
Yukihiro Tsuji, Tadashi Watanabe, Kenichi Nakamura, Kenji Nagao, Tsukuru Katsuyama, Yukihisa Nakao, Akinobu Teramoto, Yasuyuki Shirai, Shigetoshi Sugawa, Tadahiro Ohmi
第25回マイクロエレクトロニクス研究会プロシーディング 39-46 2013年11月
-
汚染やダメージをいっさい与えない製造技術による半導体デバイス製造
大見忠弘, 須川成利
第25回マイクロエレクトロニクス研究会プロシーディング 61-109 2013年11月
-
高電流駆動能力・低ノイズ微細MOSFETのデバイス構造・製造プロセスに関する研究
中尾幸久, 内海秀俊, 橋本圭市, 田中宏明, 黒田理人, 寺本章伸, 宮本直人, 須川成利, 大見忠弘
第25回マイクロエレクトロニクス研究会プロシーディング 47-60 2013年11月
-
画像表示デバイスを用いたオンライン露光方式
森本達郎, 宮本直人, 木田啓, 須川成利, 大見忠弘
第25回マイクロエレクトロニクス研究会プロシーディング 33-38 2013年11月
-
映像情報メディア年報2013シリーズ(第12回)情報センシングの研究開発動向
須川成利, 高柳 功, 高橋秀和, 黒田理人, 池辺将之, 浜本隆之, 小室 孝, 香川景一郎, 大竹 浩, 赤井大輔, 鈴木秀征
映像情報メディア学会誌 67 (11) 972-982 2013年11月
出版者・発行元: 一般社団法人 映像情報メディア学会DOI: 10.3169/itej.67.972
ISSN: 1342-6907
-
原子レベル平坦化Si表面のキャリアモビリティ特性に基づくマルチゲートMOSFETの構造設計 (シリコン材料・デバイス)
黒田 理人, 中尾 幸久, 寺本 章伸, 須川 成利, 大見 忠弘
電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 113 (247) 15-20 2013年10月17日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
MOSFETのサブスレショルド領域におけるRandom Telegraph Noiseの時定数解析
米澤彰浩, 寺本章伸, 小原俊樹, 黒田理人, 須川成利, 大見忠弘
電子情報通信学会技術研究報告 113 (247(SDM2013 88-98)) 51-56 2013年10月10日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
内部量子効率100%のPD技術とオンチップ高透過積層膜を組み合わせた紫外光高感度・高信頼性Siフォトダイオード
幸田安真, 黒田理人, 中尾幸久, 須川成利
電子情報通信学会技術研究報告 113 (247(SDM2013 88-98)) 21-25 2013年10月10日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
200‐1000nmの広光波長帯域に感度を有する高紫外光照射耐性CMOSイメージセンサ
黒田理人, 川田峻, 那須野悟史, 中澤泰希, 幸田安真, 半澤克彦, 須川成利
映像情報メディア学会技術報告 37 (40(IST2013 42-54)) 21-24 2013年9月23日
出版者・発行元: 一般社団法人 映像情報メディア学会ISSN: 1342-6893
-
窒化ガリウム表面の過剰酸化を抑制する洗浄液の検討
辻 幸洋, 勝山 造, 寺本 章伸, 白井 泰雪, 須川 成利, 大見 忠弘
第74回応用物理学会秋季学術講演会 講演予稿集 14-103 2013年9月
-
MOSFETのサブスレッショルド領域におけるRandom Telegraph Noiseの時定数解析
米澤彰浩, 寺本章伸, 小原俊樹, 黒田理人, 須川成利, 大見忠弘
2013 International Reliability Physics Symposium 報告会Digest 21-24 2013年7月
-
White-RGBイメージセンサを用いた仮想カラーフィルタ情報の追加による被写体のスペクトル推定精度の改善
川田 峻, 黒田 理人, 須川 成利
映像情報メディア学会技術報告 37 (27) 17-20 2013年6月17日
出版者・発行元: 一般社団法人映像情報メディア学会ISSN: 1342-6893
-
オンチップ高透過積層膜を有する紫外光高感度・高信頼性Siフォトダイオード
幸田安真, 黒田理人, 中澤泰希, 中尾幸久, 須川成利
映像情報メディア学会技術報告 37 (22(IST2013 15-27)) 37-40 2013年5月24日
出版者・発行元: 一般社団法人 映像情報メディア学会ISSN: 1342-6893
-
浮遊容量負荷読み出しを用いたCMOSイメージセンサ
若嶋駿一, 合田康之, LI Tsung‐Ling, 黒田理人, 須川成利
映像情報メディア学会技術報告 37 (22(IST2013 15-27)) 33-36 2013年5月24日
ISSN: 1342-6893
-
広ダイナミックレンジイメージセンサ技術
須川成利
光学技術コンタクト 51 (5) 45-45 2013年5月
出版者・発行元: (社)日本オプトメカトロニクス協会 -
超高速ビデオ撮像素子技術
須川 成利
映像情報メディア学会技術報告 37 (19) 9-14 2013年3月15日
出版者・発行元: 一般社団法人映像情報メディア学会ISSN: 1342-6893
-
画素ソースフォロワ相当の埋め込み・表面チャネルトランジスタのランダム・テレグラフ・ノイズ統計的解析
黒田理人, 米澤彰浩, 寺本章伸, LI Tsung‐Ling, 栃木靖久, 須川成利
映像情報メディア学会技術報告 37 (19(IST2013 6-14)) 19-22 2013年3月15日
出版者・発行元: 一般社団法人 映像情報メディア学会ISSN: 1342-6893
-
ガス添加機能水を用いたGaN 表面洗浄の検討
辻 幸洋, 中村 健一, 眞壁 勇夫, 中田 健, 勝山 造, 寺本 章伸, 白井 泰雪, 須川 成利, 大見 忠弘
第60回応用物理学会春季学術講演会 講演予稿集 14-068 2013年3月
-
超高速CMOSイメージセンサの開発
田窪健二, 須川成利
光アライアンス 24 (2) 18-22 2013年2月
出版者・発行元: 日本工業出版ISSN: 0917-026X
-
Si(100)上でバルクSiO2とバルクSiとの間に形成される遷移層の解明
諏訪智之, 寺本章伸, 室隆桂之, 木下豊彦, 須川成利, 大見忠弘, 服部健雄
ゲートスタック研究会-材料・プロセス・評価の物理-第18回研究会 179-182 2013年1月
-
Si Surface Atomic Order Flattening Technology and its Application to Highly Reliable Ultraviolet Light Sensors
Rihito Kuroda, Shigetoshi Sugawa, Taiki Nakazawa, Yasumasa Koda, Akinobu Teramoto, Tomoyuki Suwa, Tadahiro Ohmi
第24回マイクロエレクトロニクス研究会プロシーディング 31-38 2012年11月
-
1/f Noise in MOSFETs
Philippe Gaubert, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
第24回マイクロエレクトロニクス研究会プロシーディング 1-16 2012年11月
-
シリコンLSI性能向上の新しい方向
大見忠弘, 中尾幸久, 黒田理人, 宮本直人, 小谷光司, 平山昌樹, 後藤哲也, 須川成利
第24回マイクロエレクトロニクス研究会プロシーディング 59-82 2012年11月
-
SiO2/Si(100)界面における組成遷移層の解明
諏訪智之, 寺本章伸, 室隆桂之, 木下豊彦, 須川成利, 服部健雄, 大見忠弘
第24回マイクロエレクトロニクス研究会プロシーディング 25-30 2012年11月
-
SiO_2/Si(100)界面における組成遷移層に関する研究
諏訪 智之, 寺本 章伸, 室 隆桂之, 木下 豊彦, 須川 成利, 服部 健雄, 大見 忠弘
電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 112 (263) 1-4 2012年10月18日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
Noise Performance of Accumulation MOSFETs (シリコン材料・デバイス)
GAUBERT Philippe, TERAMOTO Akinobu, SUGAWA Shigetoshi, OHMI Tadahiro
電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 112 (263) 15-20 2012年10月18日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
PECVD法を用いたゲートスペーサー用高品質シリコン窒化膜の低温形成プロセス
中尾幸久, 寺本章伸, 黒田理人, 諏訪智之, 田中宏明, 須川成利, 大見忠弘
電子情報通信学会技術研究報告 112 (263(SDM2012 89-97)) 21-26 2012年10月18日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
シリコンLSI:微細化に替る高性能化の道
大見忠弘, 中尾幸久, 黒田理人, 諏訪智之, 田中宏明, 須川成利
電子情報通信学会技術研究報告 112 (263(SDM2012 89-97)) 27-32 2012年10月18日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
窒化ガリウム表面における汚染粒子除去洗浄の検討
辻幸洋, 中村健一, 眞壁勇夫, 中田健, 勝山造, 寺本章伸, 白井泰雪, 須川成利, 大見忠弘
第73回応用物理学会学術講演会 講演予稿集 15-215 2012年9月
-
2,000万コマ/秒の超高速動画撮影が可能なCMOSイメージセンサ
須川成利
画像ラボ 23 (9) 25-28 2012年9月
出版者・発行元: 日本工業出版ISSN: 0915-6755
-
高速CMOSイメージセンサによる毎秒1000万コマ以上の撮像
須川成利, 栃木靖久, 宮内健, 武田徹, 黒田理人
映像情報メディア学会年次大会講演予稿集(CD-ROM) 2012 ROMBUNNO.19-8 2012年8月8日
ISSN: 1880-6961
-
招待講演 高速CMOSイメージセンサと100万~1000万コマ/秒を超える撮像 (集積回路)
須川 成利
電子情報通信学会技術研究報告 : 信学技報 112 (159) 75-80 2012年7月26日
出版者・発行元: 電子情報通信学会ISSN: 0913-5685
-
招待講演 高速CMOSイメージセンサと100万~1000万コマ/秒を超える撮像 (情報センシング)
須川 成利
映像情報メディア学会技術報告 36 (31) 75-80 2012年7月
出版者・発行元: 映像情報メディア学会ISSN: 1342-6893
-
紫外光高感度・高信頼性を有する原子オーダー平坦Si表面を用いたフォトダイオードのドーパントプロファイル
中澤泰希, 黒田理人, 幸田安真, 須川成利
映像情報メディア学会技術報告 36 (20(IST2012 16-28)) 19-22 2012年5月21日
出版者・発行元: 一般社団法人 映像情報メディア学会ISSN: 1342-6893
-
バースト1 Tpixel/s と連続 780Mpixel/s の撮像速度を有するグローバルシャッタ高速CMOSイメージセンサ
栃木 靖久, 半澤 克彦, 加藤 祐理, 黒田 理人, 武藤 秀樹, 広瀬 竜太, 冨永 秀樹, 田窪 健二, 近藤 泰志, 須川 成利
映像情報メディア学会技術報告 36 (18) 9-12 2012年3月23日
出版者・発行元: 一般社団法人映像情報メディア学会ISSN: 1342-6893
-
CMOSイメージセンサにおける高速化技術の動向
須川成利
映像情報メディア学会誌 66 (3) 174-177 2012年3月
出版者・発行元: 一般社団法人映像情報メディア学会DOI: 10.3169/itej.66.174
ISSN: 1342-6907
-
酸素ラジカルと酸素分子用いて形成したSiO2/Si界面における構造遷移層の相違
諏訪智之, 熊谷勇喜, 寺本章伸, 木下豊彦, 室隆桂之, 須川成利, 服部健雄, 大見忠弘
ゲートスタック研究会-材料・プロセス・評価の物理-第17回研究会 141-144 2012年1月
-
シリコン表面の室温三工程洗浄と超高速ウェットエッチングによるシリコン基板薄化技術
大見忠弘, 長谷部類, 吉田達郎, 内村徹平, 添田一喜, 平塚亮輔, 吉川和博, 須川成利, 村川順之
表面科学技術研究会2012 1-27 2012年1月
-
19-8 高速CMOSイメージセンサによる毎秒1000万コマ以上の撮像(第19部門[テーマ講演]科学技術のフロンティアを切り拓くイメージセンサ技術)
須川 成利, 栃木 靖久, 宮内 健, 武田 徹, 黒田 理人
映像情報メディア学会年次大会講演予稿集 2012 (0) 19-8-1-_19-8-2_ 2012年
出版者・発行元: 一般社団法人 映像情報メディア学会ISSN: 1343-1846
-
Statistical Evaluation of Leakage Current of Gate Insulator Film
Yuki Kumagai, Takuya Inatsuka, Rihito Kuroda, Tomoyuki Suwa, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
第23回マイクロエレクトロニクス研究会プロシーディング 33-38 2011年11月
-
A Study on Integration Technology of Non-porous Low-k Dielectric Fluorocarbon into Multilayer Cu Interconnects
Xun Gu, Takenao Nemoto, Yugo Tomita, Akinobu Teramoto, Rihito Kuroda, Shin-Ichiro Kuroki, Shigetoshi Sugawa, Tadahiro Ohmi
第23回マイクロエレクトロニクス研究会プロシーディング 17-25 2011年11月
-
Development of Rotation Magnet Sputtering and Its Application to Cu Interconnect Fabrications for Printed Wiring Board
Tetsuya Goto, Akihiko Hiroe, Hiroshi Imai, Masahiko Sugimura, Osamu Kawashima, Shigetoshi Sugawa, Tadahiro Ohmi
第23回マイクロエレクトロニクス研究会プロシーディング 1-9 2011年11月
-
高純度有機金属ガス供給システムに関する研究
山下 哲, 石井 秀和, 志波 良信, 北野 真史, 白井 泰雪, 須川 成利, 大見 忠弘
電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 111 (249) 85-90 2011年10月13日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
ラジカル反応ベース絶縁膜形成技術における界面平坦化効果と絶縁膜破壊特性との関係
黒田 理人, 寺本 章伸, 李 翔, 諏訪 智之, 須川 成利, 大見 忠弘
電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 111 (249) 21-26 2011年10月13日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
埋め込み構造によるMOSFETにおけるランダム・テレグラフ・ノイズの低減
鈴木裕彌, 黒田理人, 寺本章伸, 米澤彰浩, 松岡弘章, 中澤泰希, 阿部健一, 須川成利, 大見忠弘
電子情報通信学会技術研究報告 111 (249(SDM2011 97-114)) 5-9 2011年10月13日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
異常Stress Induced Leakage Currentの発生・回復特性の統計的評価
稲塚卓也, 熊谷勇喜, 黒田理人, 寺本章伸, 須川成利, 大見忠弘
電子情報通信学会技術研究報告 111 (249(SDM2011 97-114)) 11-16 2011年10月13日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
WRGB LOFIC CMOSイメージセンサを用いた青緑及び黄色領域を含む全色域の色再現性の向上
川田峻, 合田康之, 黒田理人, 須川成利
映像情報メディア学会技術報告 35 (19(IST2011 17-32)) 33-35 2011年5月20日
出版者・発行元: 一般社団法人 映像情報メディア学会DOI: 10.11485/itetr.35.19.0_33
ISSN: 1342-6893
-
10Mfps高速CMOSイメージセンサの高S/N読み出し動作
栃木靖久, 半澤克彦, 加藤祐理, 赤羽奈々, 黒田理人, 須川成利
映像情報メディア学会技術報告 35 (19(IST2011 17-32)) 37-40 2011年5月20日
出版者・発行元: 一般社団法人 映像情報メディア学会DOI: 10.11485/itetr.35.19.0_37
ISSN: 1342-6893
-
バースト10Mfpsと連続10Kfpsの撮像速度を有する高速CMOSイメージセンサのプロトタイプ試作評価
須川成利, 栃木靖久, 半澤克彦, 加藤祐理, 赤羽奈々, 黒田理人
映像情報メディア学会技術報告 35 (17(IST2011 10-16/CE2011 16-22)) 27-30 2011年3月15日
出版者・発行元: 一般社団法人 映像情報メディア学会DOI: 10.11485/itetr.35.17.0_27
ISSN: 1342-6893
-
デュアルシリサイドを用いた低直列抵抗CMOSソース/ドレイン電極形成技術
黒田 理人, 中尾 幸久, 須川 成利, 田中 宏明, 寺本 章伸, 宮本 直人, 大見 忠弘
電気学会研究会資料. EDD, 電子デバイス研究会 2011 (35) 5-10 2011年3月1日
-
MOSFETにおけるランダムテレグラフシグナルの統計的評価方法
寺本 章伸, 阿部 健一, 須川 成利, 大見 忠弘
電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 110 (274) 17-22 2010年11月4日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
Applied study of SiO2 Based Film Formed by Organosiloxane Sol-Gel Precursor
Kohei Watanuki, Atsutoshi Inokuchi, Akinori Banba, Hirokazu Suzuki, Nobuyuki Manabe, Tadashi Koike, Tatsuhiko Adachi, Tetsuya Goto, Akinobu Tearmoto, Yasuyuki Shirai, Shigetoshi Sugawa, Tadahiro Ohmi
第22回マイクロエレクトロニクス研究会プロシーディング 7-13 2010年11月
-
High-purity Metal-organic Gas Distribution System
Satoru Yamashita, Hidekazu Ishii, Yoshinobu Shiba, Masafumi Kitano, Yasuyuki Shirai, Shigetoshi Sugawa, Tadahiro Ohmi
第22回マイクロエレクトロニクス研究会プロシーディング 1-6 2010年11月
-
超高性能フルCMOSシステムLSIが切り拓く学問に基づく本物のシリコン技術」
大見 忠弘, 須川成利, 小谷光司, 平山昌樹, 後藤哲也, 宮本直人, 黒田理人, 田中宏明, 諏訪智之, 中尾幸久, 大橋朋貢, 橋本昌和
第22回マイクロエレクトロニクス研究会プロシーディング 45-81 2010年11月
-
次世代LSI向け低誘電率絶縁膜/Cuダマシン配線の形成
谷 クン, 根本 剛直, 富田 祐吾, 寺本 章伸, 黒木 伸一郎, 須川 成利, 大見 忠弘
電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 110 (241) 53-56 2010年10月14日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
ULSI用低抵抗コンタクトのための低バリアハイトメタルシリサイドの形成
田中宏明, 黒田理人, 中尾幸久, 寺本章伸, 須川成利, 大見忠弘
電子情報通信学会技術研究報告 110 (241(SDM2010 152-170)) 25-30 2010年10月14日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
Tribological Study of Direct-polish Process on Non-Porous Ultra Low-k Dielectric/Cu Interconnects
Xun Gu, Takenao Nemoto, Yugo Tomita, Akinobu Teramoto, Shin-Ichiro Kuroki, Shigetoshi Sugawa, Tadahiro Ohmi
2010 The Japan Society for Precision Engineering Fall Meeting(精密工学会秋季大会) 133-134 2010年9月
-
RTN測定の高精度化・高速化技術とRTN特性に強い影響度を示すプロセス条件
阿部健一, 寺本章伸, 須川成利, 大見忠弘, RTN測定の高精度化
映像情報メディア学会技術報告 34 (38) 29-32 2010年9月
-
映像情報メディア年報情報センシング
太田淳, 須川成利
映像情報メディア学会誌 64 (8) 1126-1135 2010年8月
出版者・発行元: 一般社団法人映像情報メディア学会DOI: 10.3169/itej.64.1125
ISSN: 1342-6907
-
RTSにおけるキャリアトラップ準位の統計的評価
寺本章伸, 藤澤孝文, 阿部健一, 須川成利
シリコンテクノロジー 127 25-30 2010年7月
-
Optimization of Brush Scrubbing Condition on Cu/low-k Damascene Structure by Using Pressure Sensing Sheet
Xun Gu, Takenao Nemoto, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
2010The Japan Society for Precision Engineering Spring Meeting(精密工学会春季大会) 715-716 2010年3月
-
色毎の飽和光量差を低減したWRGB市松画素LOFIC CMOSイメージセンサ
川田峻, 酒井伸, 赤羽奈々, 黒田理人, 須川成利
映像情報メディア学会技術報告 33 (56(IST2009 90-97)) 21-24 2009年12月10日
出版者・発行元: 一般社団法人 映像情報メディア学会DOI: 10.11485/itetr.33.56.0_21
ISSN: 1342-6893
-
大規模アレイTEGを用いた長時間測定によるランダム・テレグラフ・シグナルの統計的評価
藤澤 孝文, 阿部 健一, 渡部 俊一, 宮本 直人, 寺本 章伸, 須川 成利, 大見 忠弘
電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 109 (257) 31-36 2009年10月22日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
Tribological study for low shear force CMP process on damascene interconnects (シリコン材料・デバイス)
Gu Xun, Nemoto Takenao, Sampurno Yasa Adi, CHENG Jiang, THENG Sian, TERAMOTO Akinobu, MATEO Ricardo Duyos, BORUCKI Leonard, ZHUANG Yun, PHILIPOSSIAN Ara, SUGAWA Shigetoshi, OHMI Tadahiro
電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 109 (257) 21-26 2009年10月22日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
n+‐,p+‐Si領域に最適なシリサイドを用いた高電流駆動能力トランジスタ
中尾幸久, 黒田理人, 田中宏明, 寺本章伸, 須川成利, 大見忠弘
電子情報通信学会技術研究報告 109 (257(SDM2009 117-134)) 1-6 2009年10月22日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
デジタルカメラ用イメージセンサの最新動向
須川成利
日本写真学会誌 72 (4) 300-305 2009年8月
出版者・発行元: 日本写真学会DOI: 10.11454/photogrst.72.300
ISSN: 0369-5662
-
CDS-1-1 広ダイナミックレンジLOFIC CMOSイメージセンサ技術(CDS-1.高ダイナミックレンジ映像技術の最前線〜高ダイナミックレンジ化がもたらす映像システムの新たな展開〜,シンポジウムセッション)
須川 成利
電子情報通信学会総合大会講演論文集 2009 (2) "S-1"-"S-2" 2009年3月4日
出版者・発行元: 一般社団法人電子情報通信学会 -
諏訪智之、荒谷崇、樋口正顕、須川成利、池角度分解光電子分光法によるシリコン窒化膜/シリコン基板界面に形成される構造遷移層に関する研究
諏訪智之, 荒谷崇, 樋口正顕, 須川成利, 池永英司, 牛尾二郎, 野平博司, 寺本章伸, 大見忠弘, 服部健雄
第14回ゲートスタック研究会 117-120 2009年1月
-
高性能トランジスタのための低バリアハイト低抵抗コンタクト形成技術
田中宏明, 磯貝達典, 黒田理人, 中尾幸久, 諏訪智之, 後藤哲也, 寺本章伸, 須川成利, 大見忠弘
第20回マイクロエレクトロニクス研究会プロシーディング 32-39 2008年11月
-
Stress Induced Leakage Current と Random Telegraph Signal ノイズとの相関
熊谷 勇喜, 寺本 章伸, 阿部 健一, 藤澤 孝文, 渡部 俊一, 諏訪 智之, 宮本 直人, 須川 成利, 大見 忠弘
電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 108 (236) 57-62 2008年10月2日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
Impact of fully depleted silicon-on-insulator accumulation-mode CMOS on Si(110) (シリコン材料・デバイス)
Tye Ching Foa, Cheng Weitao, Teramoto Akinobu, SUGAWA Shigetoshi, OHMI Tadahiro
電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 108 (236) 51-55 2008年10月2日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
MOSFET特性ばらつき、RTSノイズの統計的評価
藤澤 孝文, 須川 成利, 渡部 俊一, 阿部 健一, 寺本 章伸, 大見 忠弘
電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 108 (236) 45-50 2008年10月2日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
角度分解光電子分光法によるゲート絶縁膜/シリコン基板界表面に形成される構造遷移送に関する研究
諏訪智之, 荒谷崇, 樋口正顕, 須川成利, 池永英司, 牛尾二郎, 野平博司, 寺本章伸, 大見忠弘, 服部健雄
電子情報通信学会技術研究報告 108 (236) 69-74 2008年10月
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
画像エレクトロニクス : 情報センシング
川人 祥二, 高柳 功, 高橋 秀和, 須川 成利, 三沢 岳志, 太田 淳, 浜本 隆之, 大竹 浩, 原田 耕一, 小野田 篤
映像情報メディア学会誌 : 映像情報メディア = The journal of the Institute of Image Information and Television Engineers 62 (8) 1189-1197 2008年8月1日
出版者・発行元: 一般社団法人映像情報メディア学会DOI: 10.3169/itej.62.1189
ISSN: 1342-6907
-
大型液晶ディスプレイプロヘクトが切り拓く未来
大見忠弘, 須川成利
電子情報通信学会誌 91 (4) 316-340 2008年4月
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5693
-
大規模アレイTEGを用いた画素ソースフォロア相当のトランジスタのランダム・テレグラフ・シグナル・ノイズの統計的解析
須川成利, 阿部健一, 藤澤孝文, 渡部俊一, 黒田理人, 宮本直人, 寺本章伸, 大見忠弘
映像情報メディア学会技術報告 32 (19(IST2008 8-18/CE2008 21-31)) 9-12 2008年3月19日
ISSN: 1342-6893
-
微細MOSデバイスのランダム・テレグラフ・シグナル・ノイズ(アナログ,アナデジ混載,RF及びセンサインタフェース回路) 招待有り
須川成利
電子情報通信学会技術研究報告 32 (45) 95-100 2008年
DOI: 10.11485/itetr.32.45.0_95
-
アレイTEGを用いたランダム・テレグラフ・シグナルの統計的評価
藤澤孝文, 阿部健一, 須川成利, 黒田理人, 渡部俊一, 寺本章伸, 大見忠弘
第13回ゲートスタック研究会 143-148 2008年1月
-
Technologies for High Performance CMISFETs
Rihito Kuroda, Akinobu Teramoto, Tomoyuki Suwa, Rui Hasebe, Weitao Cheng, Syunichi Watabe, Ching Foa Tye, Shigetoshi Sugawa, Tadahiro Ohmi
第19回マイクロエレクトロニクス研究会プロシーディング 50-55 2007年11月
-
SiON Gate Insulator Formation using RLSA Plasma and Precise Measuremant of Nitrogen Profile in Advanced DRAM
Shigemi Murakawa, Masashi Takeuchi, Minoru Honda, Shu-ichi Ishizuka, Toshio Nakanishi, Yoshio Hirota, Takuya Sugawara, Yoshitsugu Tanaka, Yasushi Akasaka, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi
第19回マイクロエレクトロニクス研究会プロシーディング 11-16 2007年11月
-
ULSIにおけるMOSFETの電気的特性ばらつきとRandom Telegraph Signal Noise の大規模統計解析
阿部健一, 須川成利, 渡部俊一, 黒田理人, 藤澤孝文, 寺本章伸, 大見忠弘
第19回マイクロエレクトロニクス研究会 プロシーディング 43-49 2007年11月
-
マイクロ波励起プラズマを用いた高品質シリコン窒化膜の形成
寺本章伸, 荒谷 崇, 樋口正顕, 池永英司, 平山昌樹, 須川成利, 服部健雄, 大見忠弘
真空 50 (11) 659-664 2007年11月
-
先端DRAMでのSiONゲート絶縁膜における窒素プロファイルと素子特性について
村川惠美, 竹内政志, 本田 稔, 石塚修一, 中西敏雄, 廣田良浩, 菅原卓也, 田中義嗣, 赤坂泰志, 寺本章伸, 須川成利, 大見忠弘
電子情報通信学会技術研究報告 107 (245) 11-14 2007年10月
-
プラズマプロセスによるMOSFET特性ばらつきの統計的評価
渡部 俊一, 須川 成利, 阿部 健一, 藤澤 孝文, 宮本 直人, 寺本 章伸, 大見 忠弘
電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 107 (245) 69-72 2007年9月27日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
High performance accumulation mode FD-SOI MOSFETs on Si(110) and (110) surfaces (シリコン材料・デバイス)
Cheng W., Teramoto A., Kuroda R., TYE C., WATABE S., SUWA T., GOTO T., IMAIZUMI F., SUGAWA S., OHMI T.
電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 107 (245) 45-48 2007年9月27日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
大規模アレイTEGを用いたランダム・テレグラフ・シグナルの統計的評価
阿部健一, 須川成利, 黒田理人, 渡部俊一, 寺本章伸, 大見忠弘
電子情報通信学会技術研究報告 107 (245(SDM2007 170-193)) 65-68 2007年9月27日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
プラズマ窒化膜/Siの界面構造、サブナイトライド、価電子帯構造
寺本章伸, 荒谷 崇, 樋口正顕, 池永英司, 野平博司, 須川成利, 大見忠弘, 服部健雄
シリコンテクノロジー 93 2-7 2007年6月
-
プラズマ窒化膜/Siの界面構造、サブナイトライド、電子帯構造
寺本章伸, 荒谷 崇, 樋口正顕, 池永英司, 野平博司, 須川成利, 大見忠弘, 服部健雄
電子情報通信学会技術研究報告、 107 (85) 43-48 2007年6月
-
大規模アレイTEGによるトンネル絶縁膜の微小・局所的ゲート電流の統計的評価
熊谷勇喜, 寺本章伸, 須川成利, 諏訪智之, 大見忠弘
電子情報通信学会技術研究報告 107 (85) 27-32 2007年6月
-
UVラマン分光法によるラジカル窒化Si3N4/Si界面の評価
吉田哲也, 山崎浩輔, 小瀬村大亮, 掛村康人, 小椋厚志, 荒谷 崇, 樋口正顕, 須川成利, 寺本章伸, 大見忠弘, 服部健雄
第54回応用物理学関係連合講演会 講演予稿集No.2 28p-C-10 836 2007年3月
-
Siのラジカル窒化により形成したSi3N4/Si界面におけるサブナイトライドと価電子帯オフセット
荒谷 崇, 樋口正顕, 須川成利, 池永英司, 野平博司, 丸泉琢也, 寺本章伸, 大見忠弘, 服部健雄
第54回応用物理学関係連合講演会 講演予稿集No.2 28p-C-9 836 2007年3月
-
角度分解光電子分光法の新しい試み
荒谷 崇, 樋口正顕, 須川成利, 池永英司, 野平博司, 寺本章伸, 大見忠弘, 服部健雄
第54回応用物理学関係連合講演会 講演予稿集 28p-C-8 835 2007年3月
-
マイクロ波励起プラズマ有機金属化学気相堆積装置の開発と強誘電体
高橋一郎, 船岩清, 安曇啓太, 山下哲, 白井泰雪, 平山昌樹, 寺本章伸, 須川成利, 大見忠弘
電子情報通信学会技術研究報告 106 (593) 33-37 2007年3月
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
動作合成技術を用いたハードウエア/ソフトウエア自動分割技術
岩間 大介, 宮本 直人, 須川 成利, 大見 忠弘
電子情報通信学会技術研究報告. ICD, 集積回路 106 (550) 31-36 2007年2月28日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
ノーマリオフAccumulation-Mode SOI nMOSFETにおけるHot Carrier Instabilityのメカニズム
黒田理人, 寺本章伸, Cheng Weitao, 須川成利, 大見忠弘
ゲートスタック研究会-材料・プロセス・評価の物理-第12回研究会 79-84 2007年2月
-
局所的ゲートリック電流の統計的評価によるフラッシュメモリのBit不良評価
熊谷勇喜, 須川成利, 諏訪智之, 宮本直人, 鎌田 浩, 寺本章伸, 大見忠弘
ゲートスタック研究会-材料・プロセス・評価の物理-第12回研究会 73-78 2007年2月
-
SiC基板上高品質ゲート絶縁膜の低温形成
田中 康太郎, 寺本 章伸, 須川 成利, 大見 忠弘
電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 106 (417) 43-47 2006年12月7日
-
微細MOSトランジスタ特性の統計的ばらつき評価手法に関する研究
渡部俊一, 阿部健一, 須川成利, 寺本章伸, 大見忠弘
第18回マイクロエレクトロニクス研究会プロシーディング 45-48 2006年11月
-
大規模アレイTEGにより評価した低ビットエラーのKr/O2/NOトンネル酸窒化膜の形成
諏訪智之, 熊谷勇喜, 寺本章伸, 須川成利, 大見忠弘
第18回マイクロエレクトロニクス研究会プロシーディング 39-44 2006年11月
-
Si(100), (110)面上の極薄Si_3N_4-Si界面構造とその電気的特性
荒谷 崇, 樋口 正顕, 濱田 龍文, 寺本 章伸, 服部 武雄, 須川 成利, 大見 忠弘, 品川 誠治, 野平 博司, 池永 英司
電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 106 (277) 15-19 2006年9月28日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
大規模アレイTEGにより評価した低ビットエラーのKr/O_2/NOトンネル酸窒化膜の形成
諏訪 智之, 熊谷 勇喜, 寺本 章伸, 須川 成利, 大見 忠弘
電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 106 (277) 7-11 2006年9月28日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
High Performance and Highly Reliable Novel CMOS Devices Using Accumulation Mode Fully Depleted SOI MOSFETs
Cheng W., Teramoto A., Kuroda R., GAUBERT P., TYE C., HIRAYAMA M., SUGAWA S., OHMI T.
電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 106 (277) 57-61 2006年9月28日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
微細MOSトランジスタ特性の統計的ばらつき評価手法に関する研究
阿部 健一, 渡部 俊一, 須川 成利, 寺本 章伸, 大見 忠弘
電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 106 (277) 63-66 2006年9月28日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
高機能高画質CMOSイメージセンサ用AD変換器
舘 知恭, 須川 成利, 小谷 光司, 大見 忠弘
平成18年東京大学大規模集積システム設計教育研究センター年報 184 2006年9月
-
高機能高画質CMOSイメージセンサ
舘 知恭, 須川 成利, 小谷 光司, 大見 忠弘
平成18年東京大学大規模集積システム設計教育研究センター年報 183 2006年9月
-
広ダイナミックレンジCMOSイメージセンサ技術
須川 成利
映像情報メディア学会技術報告 30 (32) 25-32 2006年6月26日
出版者・発行元: 映像情報メディア学会ISSN: 1342-6893
-
SiC上に低温で形成されたゲート絶縁膜の電気的特性における残留カーボン依存性
田中 康太郎, 田中 宏明, 寺本 章伸, 須川 成利, 大見 忠弘
電子情報通信学会技術研究報告. ED, 電子デバイス 106 (137) 155-159 2006年6月26日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
直接窒化膜特性のシリコン結晶面密度依存性
樋口 正顕, 品川 誠治, 寺本 章伸, 野平 博司, 服部 健雄, 池永 英司, 須川 成利, 大見 忠弘, 大見 忠弘
電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 106 (108) 71-76 2006年6月14日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
特許出願意思決定支援のための発明評価
加藤 浩一郎, 石井 和克, 須川 成利
j情報管理 49 (3) 105-112 2006年6月
出版者・発行元: 国立研究開発法人 科学技術振興機構ISSN: 0021-7298
-
横型オーバーフロー蓄積と電流読み出し動作を組み合わせたダイナミックレンジ200dB超のCMOSイメージセンサ
須川 成利, 赤羽 奈々, 龍崎 梨絵, 足立 理, 溝渕 孝一
映像情報メディア学会技術報告 30 (25) 9-12 2006年3月24日
出版者・発行元: 映像情報メディア学会ISSN: 1342-6893
-
広ダイナミックレンジイメージセンサの最新動向
須川成利
映像情報メディア学会誌 60 (3) 299-302 2006年3月
出版者・発行元: 一般社団法人映像情報メディア学会DOI: 10.3169/itej.60.299
ISSN: 1342-6907
-
Hole注入法によるNBTI寿命予測
渡辺一史, 寺本章伸, 黒田理人, 須川 成利, 大見忠弘
ゲートスタック研究会-材料・プロセス・評価の物理-第11回研究会 367-372 2006年1月
-
NH*による直接窒化膜特性のシリコン結晶面密度依存性
樋口正顕, 品川誠治, 池永英司, 小林啓介, 野平博司, 寺本章伸, 服部健雄, 須川成利, 大見忠弘
ゲートスタック研究会-材料・プロセス・評価の物理-第11回研究会 97-102 2006年1月
-
湿式洗浄プロセスを経たSi(110)表面の原子構造観察
有馬健太, 加藤潤, 遠藤勝義, 赤堀浩史, 須川成利, 寺本章伸, 大見忠弘
ゲートスタック研究会-材料・プロセス・評価の物理-第11回研究会 19-24 2006年1月
-
Hole注入法によるNBTI評価手法及び寿命予測方法の開発
寺本章伸, 渡辺一史, 黒田理人, 三富士道彦, 山葉隆久, 須川成利, 大見忠弘
電子情報通信学会技術研究報告 105 (436(SDM2005 192-200)) 13-18 2005年11月18日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
特別寄稿 デジタル化,そして再びアナログ的センスを--半導体集積回路技術の最前線
須川 成利
デンソーテクニカルレビュー 10 (2) 3-9 2005年11月
出版者・発行元: デンソーISSN: 1342-4114
-
超清浄シリコン表面の形成
河瀬 和雅, 梅田 浩司, 井上 真雄, 諏訪 智之, 樋口 正顕, 寺本 章伸, 須川 成利, 大見 忠弘
電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 105 (317) 19-24 2005年10月6日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
LC共振法による極薄ゲート絶縁膜の電気的膜厚測定法
黒田理人, 寺本章伸, 小村政則, 渡辺一史, 須川成利, 大見忠弘
電子情報通信学会技術研究報告 105 (318(SDM2005 180-191)) 21-26 2005年9月30日
ISSN: 0913-5685
-
PELOC:動的再構成FPGA用自動配置配線ツール--フレキシブルプロセッサへの応用
宮本 直人, 大川 猛, Jamak Amir, アシュファクザマン カーン, 岩間 大介, 関東 弘明, 小谷 光司, 須川 成利, 大見 忠弘
電子情報通信学会技術研究報告 105 (287) 13-18 2005年9月15日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
横型オーバーフロー蓄積容量を用いた広ダイナミックレンジCMOSイメージセンサの暗電流耐性とオーバーフロー特性
盛 一也, 須川 成利, 足立 理
映像情報メディア学会技術報告 29 (40) 49-53 2005年7月
出版者・発行元: 映像情報メディア学会ISSN: 1342-6893
-
Impact of High Performance Accumulation-Mode Fully Depleted SOI MOSFETs
Cheng Weitao, Akinobu Teramoto, Masaki Hirayama, Shigetoshi Sugawa, Tadahiro Ohmi
電子情報通信学会技術研究報告 105 (152) 59-62 2005年6月
-
NHラジカル用いて形成した直接窒化シリコン窒化膜の界面構造と界面準位密度
樋口正顕, 小村政則, 寺本章伸, 品川盛治, 池永英司, 小林啓介, 野平博司, 須川成利, 服部健雄, 大見忠弘
電子情報通信学会技術研究報告 105 (109) 7-10 2005年6月
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
横型オーバーフロー蓄積容量を用いた広ダイナミックレンジCMOSイメージセンサ
須川 成利, 赤羽 奈々, 足立 理, 盛 一也, 石内 敏之, 溝渕 孝一
映像情報メディア学会技術報告 29 (24) 29-32 2005年3月18日
出版者・発行元: 映像情報メディア学会ISSN: 1342-6893
-
体験的キヤノン流特許活用術
須川成利
日経ビズテック (5) 176-181 2005年3月
-
ホール注入を用いたNBTI寿命予測方法
渡辺一史, 寺本章伸, 黒田理人, 須川成利, 大見忠弘
ゲートスタック研究会-材料・プロセス・評価の物理-第10回研究会 321-326 2005年1月
-
極薄ゲート絶縁膜の電気的膜厚測定法
小村政則, 黒田理人, 渡辺一史, 寺本章伸, 須川成利, 大見忠弘
ゲートスタック研究会-材料・プロセス・評価の物理-第10回研究会 249-254 2005年1月
-
Low temperature growth of oxynitride thin films using plasma techniques
Herzl Aharoni, Kazuo Ohtsubo, Yuji Saito, Masaki Hirayama, Shigetoshi Sugawa, Tadahiro Ohmi
ISREAL PHYSICAL SOCIETY 50th Annual Meeting Physics Department, Technion-IIT 126 2004年12月
-
エレクトロニクス産業用クリーンルームの消火に適応したAr-Co2不活性ガス消火装置の開発と実用化
福田宗治, 大見忠弘, 須川成利
第16回マイクロエレクトロニクス研究会プロシーディング 35-42 2004年11月
-
RF回路設計のための大振幅モデル
渡辺一史, 小谷光司, 寺本章伸, 須川成利, 大見忠弘
シリコンテクノロジー 66 51-56 2004年11月
-
原子状酸素を用いた酸化処理による強誘電体STN薄膜の特性改善
磯貝 達典, 高橋 一郎, 桜井 弘之, 後藤 哲也, 平山 昌樹, 寺本 章伸, 須川 成利, 大見 忠弘
電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 104 (336) 5-10 2004年10月14日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
列並列演算器を備えたリアルタイムオブジェクト抽出を行なう高機能高画質CMOSイメージセンサ
舘 知恭, 須川 成利, 千葉 浩児, 小谷 光司, 大見 忠弘
映像情報メディア学会技術報告 28 (58) 33-36 2004年10月14日
出版者・発行元: 映像情報メディア学会ISSN: 1342-6893
-
MOSFETにおける1/fノイズ低減の研究
戸板真人, 須川成利, 寺本章伸, 大見忠弘
第18回ゆらぎ現象研究会抄録集 21-27 2003年11月
-
ラジカル窒化による超高信頼性直接窒化シリコンゲート絶縁膜 (特集 プロセスクリーン化と新プロセス技術)
小村 政則, 樋口 正顕, 程 イ涛, 大嶋 一郎, 寺本 章伸, 平山 昌樹, 須川 成利, 大見 忠弘
電子情報通信学会技術研究報告 103 (373) 39-41 2003年10月20日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
ラジカル酸化によるPoly‐Si TFTの高性能化に関する研究
石井克治, 今泉文伸, 林朋彦, 寺本章伸, 平山昌樹, 須川成利, 大見忠弘
電子情報通信学会技術研究報告 103 (373(SDM2003 161-168)) 9-12 2003年10月20日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
MOSFETにおける1/fノイズ低減の研究
戸板真人, 須川成利, 寺本章伸, 大見忠弘
第14回マイクロエレクトロニクス研究会プロシーディング 21-27 2003年10月
-
インテリジェント・ヒューマン・インターフェース
舘知恭, 千葉浩児, 小谷光司, 須川成利, 大見忠弘
東京大学大規模集積システム設計教育研究センター年報 137 2003年10月
-
リアルタイムオブジェクト分離を行なう高機能CMOSイメージセンサ
須川成利, 舘知恭, 千葉浩児, 赤羽奈々, 小谷光司, 大見忠弘
映像情報メディア学会技術報告 33-36 2003年10月
-
X線リソグラフィー使用100nm加工の300mmウエハ供給
古村雄二, 柳田公雄, 須川成利, 大見忠弘
次世代リソグラフィーワークショップ予稿集 2003年7月
-
プラズマ酸化;窒化によるゲート絶縁膜中に含まれる希ガス原子が電気的特性に与える影響
樋口正顕, 諏訪智之, 大嶋一郎, 程イ涛, 寺本章伸, 平山昌樹, 須川成利, 大見忠弘
薄膜・表面物理学会/シリコンテクノロジー分科会共催特別研究会 297-302 2003年1月
-
A New Profitable Stage-Investment Type Fab Model for a System LSI Era
Yoshio Yamazaki, Shigetoshi Sugawa, Tadahiro Ohmi
第13回マイクロエレクトロニクス研究会プロシーディング 3-5 2002年11月
-
段階投資型半導体製造施設の研究
山崎 喜郎, 須川 成利, 大見 忠弘
電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 102 (416) 27-29 2002年10月22日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
プラズマ酸化、酸窒化、窒化によるゲート絶縁膜中に含まれる希ガス原子が電気的特性に与える影響
樋口 正顕, 諏訪 智之, 大嶋 一郎, 程 ?涛, 寺本 章伸, 平山 昌樹, 須川 成利, 大見 忠弘
電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 102 (415) 19-26 2002年10月21日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
シリコン(100)面の原子オーダー平坦化における1/fノイズ低減効果
田中 康太郎, 渡辺 一史, 石野 英明, 須川 成利, 寺本 章伸, 平山 昌樹, 大見 忠弘
電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 102 (415) 33-37 2002年10月21日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
1/200の圧縮率を実現する演算の省略機能を備えた適応解像度ベクトル量子化プロセッサ
藤林正典, 野澤俊之, 中山貴裕, 望月健司, 譽田正宏, 小谷光司, 須川成利, 大見忠弘
電子情報通信学会技術研究報告 102 (234) 17-21 2002年7月
-
マイクロ波励起高密度プラズマによる低温直接窒化を用いて高品質極薄シリコン窒化ゲート絶縁膜に関する研究
程 〓涛, 大嶋 一郎, 島田 浩行, 小野 泰弘, 平山 昌樹, 寺本 章伸, 須川 成利, 大見 忠弘
電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 102 (133) 13-18 2002年6月13日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
半導体製造技術復権への道を拓くマイクロ波励起高密度プラズマ技術
須川成利, 大見忠弘
文部科学省特定領域研究(A)「超機能化グローバル・インテグレーション研究」公開シンポジウム 講演予稿集 1-2 2002年3月
-
小規模生産ラインとクリーン化技術
大見 忠弘, 須川 成利, 岸田 好晴
空気清浄 39 (5) 4-15 2002年1月31日
出版者・発行元: 日本空気清浄協会ISSN: 0023-5032
-
画像圧縮用ベクトル量子化プロセッサ
野澤 俊之, 藤林 正典, 中山 貴裕, 譽田 正宏, 小谷 光司, 須川 成利, 大見 忠弘
計測と制御 = Journal of the Society of Instrument and Control Engineers 40 (12) 897-901 2001年12月10日
出版者・発行元: 計測自動制御学会ISSN: 0453-4662
-
Comparative examination of thin gate oxide films
Herzl Aharoni, Yuji Saito, Kazuo Ohtsubo, Masaki Hirayama, Shigetoshi Sugawa, Tadahiro Ohmi
The 47th Meeting of the Israel Physical Society(IPS 2001) 47 33 2001年12月
-
Deposition of high quality silicon oxide films by Kr/O2/SiH4high-density and low ions energy plasma at low temperature(400℃)
Chuan Jie Zhong, Hiroaki Tanaka, Masaki Hirayama, Shigetoshi Sugawa, Tadahiro Ohmi
第12回マイクロエレクトロニクス研究会プロシーディング 41-47 2001年11月
-
半導体工場におけるエネルギー削減技術に関する研究=真空断熱材の使用によるクリーンルーム空調設備負荷の低減=
末永修, 須川成利, 大見忠弘, 太田与洋, 大村高弘
第12回マイクロエレクトロニクス研究会プロシーディング 57-64 2001年11月
-
微細素子分離上に形成するトンネル酸化膜の高信頼性化技術
上田直樹, 山内祥光, 斉藤祐司, 平山昌樹, 須川成利, 大見忠弘
第12回マイクロエレクトロニクス研究会プロシーディング 49-56 2001年11月
-
減圧装置内での有機物汚染挙動及び酸化膜耐圧への影響
林輝幸, 鈴木要, 斉藤美佐子, 須川成利, 大見忠弘
第12回マイクロエレクトロニクス研究会プロシーディング 33-39 2001年11月
-
ベクトル量子化を用いた静止画像高画質高圧縮システム
中山 貴裕, 野澤 俊之, 藤林 正典, 望月 健司, 譽田 正宏, 小谷 光司, 須川 成利, 大見 忠弘
電子情報通信学会技術研究報告. ICD, 集積回路 101 (386) 47-52 2001年10月19日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
真空装置内での有機物汚染挙動
林 輝幸, 鈴木 要, 斉藤 美佐子, 須川 成利, 大見 忠弘
電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 101 (350) 23-27 2001年10月9日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
フレキシブルプロセッサ(24×20モジュール搭載版)
堺谷智, 小谷光司, 須川成利, 大見忠弘
東京大学大規模集積システム設計教育研究センター年報 136 2001年8月
-
フレキシブルプロセッサ(24×20モジュール搭載版)
堺谷智, 小谷光司, 須川成利, 大見忠弘
東京大学大規模集積システム設計教育研究センター年報 128 2001年8月
-
低温プロセスを特徴とする低抵抗TaNx/Ta/TaNxゲート電極・Si_3N_4ゲート絶縁膜MNSFET
大嶋 一郎, 島田 浩行, 中尾 慎一, 程 イ涛, 小野 泰弘, 平山 昌樹, 須川 成利, 大見 忠弘
電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 101 (247) 71-76 2001年7月27日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
平面集積から三次元集積へ
大見忠弘, 須川成利
第19回BOC EDWARDS 半導体セミナー講演集 83-99 2001年6月
-
低温プロセスを特徴とする低抵抗TaNx/Ta/TaNxメタルゲートFDSOI-CMOS技術
島田 浩行, 大嶋 一郎, 中尾 慎一, 中川 宗克, 須川 成利, 大見 忠弘
電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 100 (477) 23-30 2000年11月23日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
CMOSイメージセンサ技術
須川 成利
電子情報通信学会技術研究報告. EID, 電子ディスプレイ 100 (403) 1-6 2000年10月25日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
マイクロ波励起高密度Kr/O_2/N_2プラズマを用いたシリコン酸窒化膜の低温形成
大坪 和雄, 斉藤 祐司, 関根 克行, 平山 昌樹, 須川 成利, AHARONI Herzl, 大見 忠弘
電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 100 (373) 35-40 2000年10月12日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
バランスト電子ドリフトマグネトロンプラズマを用いたダメージフリーエッチング技術
海原 竜, 平山 昌樹, 須川 成利, 大見 忠弘
電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 100 (373) 9-14 2000年10月12日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
連載[マニュファクチャリング] 製造技術に学問の導入を提言(3) 強誘電体、高誘電率膜を徹底利用へ LSIの付加価値高める基盤技術
大見忠弘, 須川成利, 平山昌樹, 白井泰雪
日経マイクロデバイス (184) 170-173 2000年10月
-
高性能ポリシリコントランジスタの低温製造技術
大見忠弘, 須川成利, 斉藤祐司, 田中宏明
第61回応用物理学会学術講演会予稿集 53 2000年9月
-
連載[マニュファクチャリング] 製造技術に学問の導入を提言(2) 小規模生産ラインを可能にする装置技術、8項目を提案
大見忠弘, 須川成利, 平山昌樹
日経マイクロデバイス (183) 186-189 2000年9月
-
連載[マニュファクチャリング] 製造技術に学問の導入を提言(1) システムLSI、ディスプレイ、太陽電池デバイス技術を塗り替える
大見忠弘, 須川成利, 平山昌樹
日経マイクロデバイス (182) 213-220 2000年8月
-
金属汚染・表面損傷を伴わない高密度プラズマを用いた低温高品質成膜技術
大見忠弘, 須川成利, 平山昌樹, 斉藤祐司, 田中宏明
日本学術振興会 第17回薄膜スクール資料 105-111 2000年7月
-
半導体産業復活のシナリオ
大見忠弘, 須川成利
第18回大阪酸素 半導体セミナー-グローバルネットワーク時代の半導体産業- 69-80 2000年6月
-
製造技術に学問の導入を提言 21世紀を見すえた新デバイス・新生産方式を提案
大見忠弘, 須川成利, 平山昌樹, 白井泰雪
日経マイクロデバイス (180) 177-182 2000年6月
-
家庭内ネットワークの鍵を握るLSI高性能化
大見忠弘, 須川成利
実践技術ジャーナル (1) 45-58 2000年5月
-
低エネルギーイオン照射低温シリコン成膜技術
大見忠弘, 須川成利, 平山昌樹
第47回応用物理学関係連合講演会講演予稿集 17 2000年3月
-
新規ノイズ除去回路を搭載したCMOSエリア型オートフォーカスセンサ
高橋 秀和, 篠原 真人, 須川 成利
電子情報通信学会技術研究報告. ICD, 集積回路 99 (315) 21-28 1999年9月20日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
Integration of Image Input and Signal Process in BASIS
Shigetoshi Sugawa, Mahito Shinohara
6th Microelectronics Conference 43-50 1995年11月
-
31万画素バイポーライメージセンサ(BASIS)
田中信義, 橋本誠二, 篠原真人, 須川成利, 森下正和, 松本繁幸, 中村佳夫, 大見忠弘
電子情報通信学会技術研究報告 79-86 1989年9月
書籍等出版物 8
-
The Micro-World Observed by Ultra High-Speed Cameras: We See What You Don’t See
Shigetoshi Sugawa
Springer 2017年9月
-
写真の辞典
須川成利
日本写真学会 2014年9月
-
画像入力とカメラ
寺西信一, 須川成利
オーム社 2012年6月25日
-
知識ベース
原島 博
電子情報通信学会 2011年3月16日
-
デジタルカメラの最先端技術
竹村裕夫, 須川成利
株式会社 技術情報協会 2004年10月29日
-
エネルギーを考える
斉藤浩海, 須川成利
東北大学出版会 2004年4月
-
新しい半導体製造プロセスと材料
大見忠弘, 須川成利
株式会社シーエムシー 2000年5月31日
-
家庭用ネットワークの周辺機器技術とセキュリティ
羽島光俊, 須川成利他
ミマツコーポレーション 2000年5月
講演・口頭発表等 45
-
高性能コヒーレント軟X線回折イメージセンサsxCMOSの開発
須川成利
PF研究会 2022年1月13日
-
先端軟X線科学への基幹技術, 軟X線検出CMOSイメージセンサsxCMOSの開発
須川成利
ISSP Workshop 2021 2021年3月4日
-
CMOSイメージセンサの感度・飽和・撮影速度・分光感度帯域性能の追究
須川成利
映像情報メディア学会創立70周年記念大会 2020年12月22日
-
CMOS イメージセンサの広ダイナミックレンジ・高速・広分光感度性能の追究
須川成利
電気化学会半導体・集積回路技術シンポジウム 2020年9月15日
-
軟X線検出CMOSイメージセンサ
須川成利
第33回日本放射光学会年会 放射光科学合同シンポジウム 2020年1月12日
-
A 20Mfps Global Shutter CMOS Image Sensor with Improved Sensitivity and Power Consumption 国際会議
Rihito Kuroda, Tohru Takeda, Fan Shao, Ken Miyauchi, Yasuhisa Tochigi
2015 International Image Sensor Workshop 2015年6月8日
-
高速CMOSイメージセンサによる1000万コマ/秒の撮影
須川成利
応用物理学会 2014年12月19日
-
極限イメージングの現在とその将来動向
新井康夫, エネ研, 香川景一郎, 土屋敏章, 黒田理人
映像情報メディア学会 情報センシング研究会 2014年7月3日
-
20Mfpsの撮像速度を有する超高速CMOSイメージセンサの画素構造
映像情報メディア学会 情報センシング研究会 2014年3月14日
-
広ダイナミックレンジLOFIC CMOSイメージセンサの最近の技術展開
次世代画像入力ビジョンシステム部会 2013年6月5日
-
CMOSイメージ・センサ 実践基礎
日経NEアカデミー 2013年4月26日
-
超高速ビデオ撮像素子技術
映像情報メディア学会 情報センシング研究会 2013年3月22日
-
Ultra High Speed Imaging 国際会議
International Solid-State Circuits Conference 2013年2月21日
-
Recent Trend on Wide Dynamic Range Image Sensor Technologies 国際会議
Technology Front of Optical Design and Imaging for DSC 2013年1月31日
-
Achievement, Issues, and next steps of CMOS image sensors 国際会議
PIXEL 2012 2012年9月3日
-
高速CMOSイメージセンサによる毎秒1000万コマ以上の撮影
映像情報メディア学会年次大会 2012年8月29日
-
イメージセンサ技術の最新動向について
次世代パワー・アナログ・センサデバイス研究会オープンセミナー 2012年8月24日
-
高速CMOSイメージセンサと100万~1000万コマ/秒を超える撮影
映像情報家ディア学会情報センシング研究会 2012年7月27日
-
バースト1Tpixel/sと連続780Mpixel/sの撮像速度を有するグローバルシャッター高速CMOSイメージセンサ
画像入力ビジョンシステム部会 2012年4月10日
-
バースト10Mfpsと連続10Kfpsの撮像速度を有する高速CMOSイメージセンサのプロトタイプ試作評価
映像情報メディア学会 情報センシング研究会 2011年5月13日
-
イメージセンサの高感度化・低ノイズ化の技術動向
映像情報メディア学会情報センシング研究会 2009年12月11日
-
The Dynamic-Range Enhancement Technologies for CMOS Image Sensors
Shigetoshi Sugawa
International Conference on Solid State Devices and Materials 2008年9月25日
-
Wide Dynamic Range on System Level
Shigetoshi Sugawa
International Solid-State Circuits Conference, Imager Design Forum 2008年2月3日
-
Recent Progress on Wide Dynamic Range CMOS Image Sensor
Shigetoshi Sugawa
International Display Workshops 2007年12月5日
-
広ダイナミックレンジCMOSイメージセンサ技術
映像情報メディア学会技術報告 2006年6月
-
横型オーバーフロー蓄積容量を用いた広ダイナミックレンジCMOSイメージセンサ
須川成利, 赤羽奈々, 足立理, 盛一也, 石内敏之, 溝渕孝一
映像情報メディア学会情報センシング研究会 2005年3月18日
-
固体撮像素子の現状と展望 -雑音電子1個との戦い-
熊本大学拠点形成研究B公開シンポジウムナノスペース電気化学シンポジウム 2005年3月15日
-
領域分割イメージセンサ
次世代画像入力ビジョンシステム部会 2004年3月15日
-
CCD/CMOSの比較検討
日本光学会 2004年1月19日
-
リアルタイムオブジェクト抽出を行う高機能CMOSイメージセンサ
須川成利, 舘知恭, 千葉浩児, 赤羽奈々, 小谷光司, 大見忠弘
映像情報メディア学会情報センシング研究会 2003年10月16日
-
大画面液晶ディスプレイ駆動回路製造技術の革新
日本液晶学会 2003年10月14日
-
SoC時代の小規模短期間半導体生産方式
応用物理学会 2002年3月28日
-
マイクロ波励起プラズマによる窒化膜ゲートトランジスタ
シリコン超集積化システム第165委員会 2002年2月
-
マイクロローディング効果及びエッチィングダメージを抑制した新規コンタクトホール形成技術
VLSI Forum 2001年10月23日
-
高密度プラズマ製膜技術
UCT シンポジウム 2001年9月22日
-
21世紀の半導体生産方式
品質工学会 2001年5月
-
マイクロ波励起プラズマによるポリシリコン低温酸化技術
応用物理学会 2001年3月29日
-
次世代半導体技術の展望
大阪大学COE 2001年3月7日
-
CMOSイメージセンサ技術
次世代画像入力・ビジョンシステム部会 2001年1月25日
-
CMOSイメージセンサ技術
電子情報通信学会技術研究報告 2000年11月1日
-
バランスト電子ドリフトマグネトロンプラズマを用いたダメージフリーエッチング
海原竜, 平山昌樹, 須川成利, 大見忠弘
電子情報通信学会シリコン材料・デバイス研究会 2000年10月19日
-
高性能ポリシリコントランジスタの低温製造技術
第61回応用物理学会学術講演会 2000年9月6日
-
金属汚染・表面損傷を伴わない高密度プラズマを用いた低温高品質成膜技術
薄膜131委員会 2000年7月1日
-
低エネルギーイオン照射低温シリコン製膜技術
応用物理学会 2000年3月28日
-
新規ノイズ除去回路を搭載したCMOSエリア型オートフォーカスセンサ
高橋秀和, 篠原真人, 須川成利
電子情報通信学会集積回路研究会 1999年9月20日
産業財産権 334
-
容量検出エリアセンサ及び、その容量検出エリアセンサを有する導電パターン検査装置
須川成利, 黒田理人, 後藤哲也, 羽森寛, 村上真一, 安田俊朗
特許7157423
産業財産権の種類: 特許権
-
光センサ及びその信号読み出し方法並びに固体撮像装置及びその信号読み出し方法
須川成利, 黒田理人, 若嶋駿一
特許6948074
産業財産権の種類: 特許権
-
光センサ装置
塚越功二, 須川成利, 黒田理人
特許6886307
産業財産権の種類: 特許権
-
時分割分光イメージング分析システム及び時分割分光イメージング分析方法
須川成利, 藤掛英夫, 石鍋隆宏, 黒田理人, 若生一広
特許6860772
産業財産権の種類: 特許権
-
固体光検出器
須川成利, 黒田理人, 柄澤朋宏, 廣瀬竜太, 古宮哲夫, 森谷直司
特許6809717
産業財産権の種類: 特許権
-
受光デバイスおよび受光デバイスの信号読み出し方法
須川成利, 黒田理人
特許6671715
産業財産権の種類: 特許権
-
信号処理方法
須川 成利, 黒田 理人
特許第5958980号
産業財産権の種類: 特許権
-
固体撮像装置
須川 成利
5885217
産業財産権の種類: 特許権
-
固体撮像装置
須川 成利, 赤羽 奈々, 足立 理
特許5764784号
産業財産権の種類: 特許権
-
固体撮像装置
須川 成利, 赤羽 奈々, 足立 理
特許5764783号
産業財産権の種類: 特許権
-
分光計測用フォトダイオードアレイ及び分光計測装置
冨永 秀樹, 廣瀬 竜太, 田窪 健二, 須川 成利, 黒田 理人
5892567
産業財産権の種類: 特許権
-
Photodiode array for spectrometric measurements and spectrometric measurement system
Hideki Tominaga, Ryuta Hirose, Kenji Takubo, Shigetoshi Sugawa, Rihito Kuroda
9,429,471
産業財産権の種類: 特許権
-
配線構造体、配線構造体を備えた半導体装置及びその半導体装置の製造方法
須川 成利, 寺本 章伸, 黒田 理人, 谷 ▲クン▼
特許第5930416号
産業財産権の種類: 特許権
-
フォトダイオード及びその製造方法、フォトダイオードアレイ、分光光度計、並びに固体撮像装置
須川 成利, 黒田 理人
特許5692880号
産業財産権の種類: 特許権
-
固体撮像素子及びその駆動方法
須川 成利, 冨永 秀樹, 田窪 健二, 近藤 泰志
特許5733536号
産業財産権の種類: 特許権
-
SOI基板のエッチング方法及びSOI基板上の裏面照射型光電変換モジュールの作製方法
大見 忠弘, 大橋 朋貢, 吉川 和博, 吉田 達朗, 内村 徹平, 添田 一喜, 須川 成利
特許第5565735号
産業財産権の種類: 特許権
-
露光装置および露光方法
須川 成利, 森本 達郎, 小坂 光二
特許5697188号
産業財産権の種類: 特許権
-
固体撮像素子
近藤 泰志, 冨永 秀樹, 田窪 健二, 廣瀬 竜太, 須川 成利, 武藤 秀樹
特許第5674350号
産業財産権の種類: 特許権
-
Solid-state image sensor for capturing high-speed phenomena and drive method for the same
Shigetoshi Sugawa, Yasushi Kondo, Hideki Tominaga
9,420,210
産業財産権の種類: 特許権
-
Light-receiving device and method for reading out signal of light-receiving device
Shigetoshi Sugawa, Rihito Kuroda
特許11,343,458,
産業財産権の種類: 特許権
-
Optical sensor, signal reading method therefor, solid-state imagingdevice, and signal reading method therefor
Shigetoshi Sugawa, Rihito Kuroda, Shunichi Wakashima
特許10,154,222
産業財産権の種類: 特許権
-
Optical sensor device
Koji Tsukagoshi, Shigetoshi Sugawa, Rihito Kuroda
特許10,794,760
産業財産権の種類: 特許権
-
Optical sensor and signal readout method therefor, and solid-state image pickup device and signal readout method therefor
Shigetoshi Sugawa, Rihito Kuroda, Shunichi Wakashima
特許10,720,467
産業財産権の種類: 特許権
-
Optical gas concentration measuring method by forming a differential signal using lights with different absorbabilities to a raw material in a gas flow path using a time-sharing method
Masaaki Nagase, Kouji Nishino, Nobukazu Ikeda, Michio Yamaji, Shigetoshi Sugawa, Rihito Kurodo
特許10,408,742,
産業財産権の種類: 特許権
-
光センサ及びその信号読み出し方法並びに固体撮像装置及びその信号読み出し方法
須川 成利, 黒田 理人
特許6085733
産業財産権の種類: 特許権
-
Optical sensor and solid-state imaging device, and signal reading methodstherefor
Shigetoshi Sugawa, Rihito Kuroda, Shunichi Wakashima
特許10,200,641
産業財産権の種類: 特許権
-
Photodiode and method for producing the same, photodiode array,spectrophotometer and solid-state imaging device
Shigetoshi Sugawa, Rihito Kuroda
特許9,568,364
産業財産権の種類: 特許権
-
濃度測定方法
須川 成利, 黒田 理人
特許6249427
産業財産権の種類: 特許権
-
Concentration measuring method
Shigetoshi Sugawa, Rihito Kuroda
特許10,241,034
産業財産権の種類: 特許権
-
Solid-state light-receiving device for ultraviolet light
Shigetoshi Sugawa, Rihito Kuroda
特許10,553,626
産業財産権の種類: 特許権
-
紫外光用固体受光デバイス
須川 成利, 黒田 理人
特許6222640
産業財産権の種類: 特許権
-
光学的濃度測定方法
須川 成利, 黒田 理人
特許6344829
産業財産権の種類: 特許権
-
Optical concentration measuring method
Shigetoshi Sugawa, Rihito Kuroda
特許10,324,028
産業財産権の種類: 特許権
-
Solid-state image pickup apparatus
Shigetoshi Sugawa, Nana Akahane, Satoru Adachi
9264637
産業財産権の種類: 特許権
-
Solid-state image pickup apparatus
Shigetoshi Sugawa, Nana Akahane, Satoru Adachi
9294698
産業財産権の種類: 特許権
-
Solid-state imaging device having plural reset devices for resetting signal lines
Shigetoshi Sugawa
9,338,378
産業財産権の種類: 特許権
-
Etching method
Takeshi Sakai, Tatsuro Yoshida, Kazuhiro Yoshikawa, Shigetoshi Sugawa
9190337
産業財産権の種類: 特許権
-
Semiconductor device and method of manufacturing the same
Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa
9153658
産業財産権の種類: 特許権
-
紫外光用固体受光デバイス
須川 成利, 黒田 理人
特許6416079
産業財産権の種類: 特許権
-
Solid light-receiving device for UV light
Shigetoshi Sugawa, Rihito Kuroda
特許10,126,166
産業財産権の種類: 特許権
-
相補型MIS装置の製造方法
大見忠弘, 小谷光司, 須川成利
特許2013-35741
産業財産権の種類: 特許権
-
Analog-to-digital converter and solid-state imaging device
Shigetoshi Sugawa
US8,963,760B2
産業財産権の種類: 特許権
-
Solid-state imaging device
Shigetoshi Sugawa
9137469
産業財産権の種類: 特許権
-
半導体物品のエッチング方法
酒井健, 吉田達朗, 吉川和博, 須川成利
特許5565718
産業財産権の種類: 特許権
-
Solid-state imaging device
Tomoya Yoneda, Shigetoshi Sugawa, Toru Koizumi, Tetsunobu Kochi
8,416,473
産業財産権の種類: 特許権
-
エッチング方法
酒井健, 吉田達朗, 吉川和博, 須川成利
特許5534494
産業財産権の種類: 特許権
-
Photodiode and method for producing the same, photodiode array, spectrophotometer and solid-state imaging device
Shigetoshi Sugawa, Rihito Kuroda
9214489
産業財産権の種類: 特許権
-
Solid state image pickup device and manufacturing method therefor
Toru Koizumi, Shigetoshi Sugawa, Isamu Ueno, Tetsunobu Kochi, Katsuhito Sakurai, Hiroki Hiyama
8,395,193
産業財産権の種類: 特許権
-
固体撮像装置
須川成利
特許5500660
産業財産権の種類: 特許権
-
Solid-state image pickup device and method of resetting the same
Toru Koizumi, Shigetoshi Sugawa, Tetsunobu Kochi
US9,083,901B2
産業財産権の種類: 特許権
-
固体撮像装置
須川成利
特許5448207
産業財産権の種類: 特許権
-
固体撮像装置
須川成利
特許5548208
産業財産権の種類: 特許権
-
シリコン酸化膜の形成方法,シリコン窒化膜の形成方法,シリコン酸窒化膜の形成方法
大見忠弘, 須川成利
特許5479437
産業財産権の種類: 特許権
-
Method of etching backside Si substrate of SOI substrate to expose SiO.sub.2 layer using fluonitric acid
Tadahiro Ohmi, Tomotsugu Ohashi, Kazuhiro Yoshikawa, Tatsuro Yoshida, Teppei Uchimura, Kazuki Soeda, Shigetoshi Sugawa
9240505
産業財産権の種類: 特許権
-
Solid-state imaging device
Tomoya Yoneda, Shigetoshi Sugawa, Toru Koizumi, Tetsunobu Kochi
特許8248677
産業財産権の種類: 特許権
-
Solid state image sensor and method for driving the same
Shigetoshi Sugawa, Hideki Tominaga, Kenji Takubo, Yasushi Kondo
US9,030,582B2
産業財産権の種類: 特許権
-
窒化膜の形成方法,酸窒化膜の形成方法
大見忠弘, 須川成利
特許5386515
産業財産権の種類: 特許権
-
Solid-state imaging device
Tomoya Yoneda, Shigetoshi Sugawa, Toru Koizumi, Tetsunobu Kochi
7936487
産業財産権の種類: 特許権
-
Test circuit, wafer, measuring apparatus, measuring method, device manufacturing method and display apparatus
Shigetoshi Sugawa, Akinobu Teramoto
特許7965097
産業財産権の種類: 特許権
-
Electronic device identifying method and electronic device comprising identification means
Toshiyuki Okayasu, Shigetoshi Sugawa, Akinobu Teramoto
特許8093918
産業財産権の種類: 特許権
-
Solid-state image sensor
Yasushi Kondo, Hideki Tominaga, Kenji Takubo, Ryuta Hirose, Shigetoshi Sugawa, Hideki Mutoh
8,530,947
産業財産権の種類: 特許権
-
Solid-state image sensor
Yasushi Kondo, HIdeki Tominaga, Kenji Takubo, Ryuta Hirose, Shigetoshi Sugawa, HIdeki Mutoh
8530947
産業財産権の種類: 特許権
-
Solid state image pickup device and manufacturing method therefor
Toru Koizumi, Shigetoshi Sugawa, Isamu Ueno, Tesunobu Kochi, Katsuhito Sakurai, Hiroki Hiyama
特許8138528
産業財産権の種類: 特許権
-
MIS transistor and CMOS transistor
Takefumi Nishimuta, Hiroshi Miyagi, Tadaihiro Ohmi, Shigetoshi Sugawa, Akinobu Teramoto
8,314,449
産業財産権の種類: 特許権
-
Solid-state image pickup device and method of resetting the same
Toru Koizumi, Shigetoshi Sugawa, Tetsunobu Kochi
8120682
産業財産権の種類: 特許権
-
固体撮像素子及びその駆動方法
須川成利, 近藤泰志, 冨永秀樹
特許4978818
産業財産権の種類: 特許権
-
固体撮像素子
須川成利, 近藤泰志, 冨永秀樹
5176215
産業財産権の種類: 特許権
-
Solid-state image sensor
Shigetoshi Sugawa, Yasushi Kondo, Hideki Tominaga
8541731
産業財産権の種類: 特許権
-
多層配線基板
大見忠弘, 須川成利, 今井紘, 寺本章伸
特許5388071
産業財産権の種類: 特許権
-
Multilayer wiring board
Tadahiro Ohmi, Shigetoshi Sugawa, Hiroshi Imai, Akinobu Teramoto
8633395
産業財産権の種類: 特許権
-
強誘電体膜の製造方法と,強誘電体膜を用いた半導体装置
大見忠弘, 須川成利, 寺本章伸, 高橋一郎, 桜井弘之, 磯貝達典
特許5300017
産業財産権の種類: 特許権
-
固体撮像装置
須川成利, 赤羽奈々, 足立理
4499819
産業財産権の種類: 特許権
-
Imaging device and method that cancels voltage signal noise based on pre-saturated charges and supersaturated charges
Shigetoshi Sugawa
7820467
産業財産権の種類: 特許権
-
Imaging device
Shigetoshi Sugawa
特許8120016
産業財産権の種類: 特許権
-
Solid-state imaging device
Tomoya Yoneda, Shigetoshi Sugawa, Toru Koizumi, Tetsunobu Kochi
7864384
産業財産権の種類: 特許権
-
固体撮像素子及びその駆動方法
須川成利, 近藤泰志, 冨永秀樹
4844853
産業財産権の種類: 特許権
-
固体撮像素子及び撮影装置
須川成利, 近藤泰志, 冨永秀樹
4844854
産業財産権の種類: 特許権
-
固体撮像素子
須川成利, 近藤泰志, 冨永秀樹
特許4931160
産業財産権の種類: 特許権
-
Solid-state image sensor and imaging device
Shigetoshi Sugawa, Yasushi KOndo, Hideki Tominaga
8,269,838
産業財産権の種類: 特許権
-
固体撮像素子及びその製造方法
須川成利, 近藤泰志, 冨永秀樹
5115937
産業財産権の種類: 特許権
-
Solid-state image sensor and method for producing the same
Shigetoshi Suagwa, Yasushi Kondo, HIdeki Tominaga
8569805
産業財産権の種類: 特許権
-
Solid-state image sensor
Shigetoshi Sugawa, Yasushi Kondo, Hideki Tominaga
US8,988,571B2
産業財産権の種類: 特許権
-
固体撮像装置
小泉徹, 樋山拓己, 光地哲伸, 櫻井克仁, 上野勇武, 須川成利
5274118
産業財産権の種類: 特許権
-
Method and apparatus for managing manufacturing equipment, method for manufacturing device thereby
Toshiyuki Okayasu, Shigetoshi Sugawa, Akinobu Teramoto
7848828
産業財産権の種類: 特許権
-
Manufacturing system, manufacturing method, managing apparatus, managing method and computer readable medium
Toshiyuki Okayasu, Shigetosi Sugawa, Akinobu Teramoto
7,774,081
産業財産権の種類: 特許権
-
Electronic device identifying method
Toshiyuki Okayasu, Shigetoshi Sugawa, Akinobu Teramoto
7812595
産業財産権の種類: 特許権
-
撮像素子, 画像処理装置, 画像処理システム, 及び記憶媒体
上野勇武, 櫻井克仁, 小川勝久, 小泉徹, 光地哲伸, 樋山拓己, 須川成利
4262290
産業財産権の種類: 特許権
-
Method of forming a dielectic film that contains silicon, oxygen and nitrogen and method of fabricating a semiconductor device that uses such a dielectric film
Tadahiro Ohmi, Shigetoshi Sugawa, Masaki Hirayama, Yasuyuki Shirai
7718484
産業財産権の種類: 特許権
-
Semiconductor device formed on (111) surface of a Si crystal and fabrication process thereof
Tadahiro Ohmi, Sigetoshi Sugawa, Katsuyuki Sekine, Yuji Saito
7,795,106
産業財産権の種類: 特許権
-
電子デバイス及び解析方法
岡安俊幸, 須川成利, 寺本章伸
4813440
産業財産権の種類: 特許権
-
Test circuit, wafer, measuring apparatus, and measuring method
Shigetoshi Sugawa, Akinobu Teramoto
7863925
産業財産権の種類: 特許権
-
製造システム、製造方法、管理装置、管理方法、およびプログラム
岡安俊幸, 須川成利, 寺本章伸
特許4918440
産業財産権の種類: 特許権
-
管理方法、管理装置、及びデバイス製造方法
岡安俊幸, 須川成利, 寺本章伸
4776598
産業財産権の種類: 特許権
-
Solid state image pickup device and manufacturing method therefor
Toru Koizumi, Shigetoshi Sugawa, Isamu Ueno, Tesunobu Kochi, Katsuhito Sakurai, Hiroki Hiyama
7705373
産業財産権の種類: 特許権
-
Semiconductor device and method of manufacturing the same
Tadahiro Ohmi, Shigetoshi Sugawa, Akinobu Teramoto, Hiroshi Akahori, Keiichi Nii
特許8183670
産業財産権の種類: 特許権
-
Complementary MIS device
Tadahiro Ohmi, Koji Kotani, Shigetoshi Sugawa
7566936
産業財産権の種類: 特許権
-
管理方法、及び管理装置
岡安俊幸, 須川成利, 寺本章伸
4147262
産業財産権の種類: 特許権
-
Optical sensor and solid-state imaging device
Shigetoshi Sugawa, Nana Akahane, Satoru Adachi
特許8,184,191
産業財産権の種類: 特許権
-
テスト用回路、ウェハ、測定装置、デバイス製造方法、及び表示装置
須川成利, 寺本章伸
3972076
産業財産権の種類: 特許権
-
Color filter array for a CMOS sensor for generating a color signal in an image pickup apparatus
Isamu Ueno, Shigetoshi Sugawa, Katsuhisa Ogawa, Toru Koizumi, Tetsunobu Kochi, Katsuhito Sakurai, Hiroki Hiyama
7724292
産業財産権の種類: 特許権
-
Optical sensor, solid-state imaging device, and operating method of solid-state imaging device
Shigetoshi Sugawa, Nana Akahane
7821560
産業財産権の種類: 特許権
-
プラズマ処理装置
4113896
産業財産権の種類: 特許権
-
プラズマ処理装置
大見忠弘, 平山昌樹, 須川成利, 後藤哲也
4113895
産業財産権の種類: 特許権
-
Plasma processing apparatus
Tadahiro Ohmi, Masaki Hirayama, Shigetoshi Sugawa, Tetsuya Goto
7670454
産業財産権の種類: 特許権
-
Bonded wafer and method of producing bonded wafer
Kiyoshi Mitani, Kiyoshi Demizu, Isao Yokokawa, Tadahiro Ohmi, Shigetoshi Sugawa
7,315,064
産業財産権の種類: 特許権
-
製造システム、製造方法、管理装置、管理方法、およびプログラム
岡安俊幸, 須川成利, 寺本章伸
4095101
産業財産権の種類: 特許権
-
デバイス識別方法、および、デバイス製造方法
岡安俊幸, 須川成利, 寺本章伸
4038228
産業財産権の種類: 特許権
-
Solid-state imaging device
Tomoya Yoneda, Shigetoshi Sugawa, Toru Koizumi, Tetsunobu Kochi
7616355
産業財産権の種類: 特許権
-
Solid-state imaging device, optical sensor and method of operating solid-state imaging device
Shigetoshi Sugawa, Satoru Adachi, Kyoichi Yahata, Tatsuya Terada
7,800,673
産業財産権の種類: 特許権
-
固体撮像装置、および固体撮像装置の動作方法
須川成利, 赤羽奈々
5066704
産業財産権の種類: 特許権
-
配線付基板およびその製造方法並びに表示装置
須川成利, 森本明大, 千葉昌彦
4998763
産業財産権の種類: 特許権
-
Solid-state imaging device, line sensor and optical sensor and method of operating solid-state imaging device
S. Sugawa
7,518,143
産業財産権の種類: 特許権
-
固体撮像装置、ラインセンサ、光センサおよび固体撮像装置の動作方法
須川成利
4502278
産業財産権の種類: 特許権
-
光センサおよび固体撮像装置
須川成利, 赤羽奈々, 足立理
4497366
産業財産権の種類: 特許権
-
Semiconductor device formed on (111) surface of a Si crystal and fabrication process thereof
Tadahiro Ohmi, Shigetoshi Sugawa, Katsuyuki Sekine, Yuji Saito
7759762
産業財産権の種類: 特許権
-
固体撮像装置, 光センサおよび固体撮像装置の動作方法
須川成利, 足立理, 矢幡恭一, 寺田達矢
4317115
産業財産権の種類: 特許権
-
Solid-state image pickup apparatus
Katsuhito Sakurai, Shigetoshi Sugawa, Hideyuki Arai, Isamu Ueno, Katsuhisa Ogawa, Toru Koizumi, Tetsunobu Kochi, Hiroki Hiyama
7973835
産業財産権の種類: 特許権
-
Method of making a substrate having buried structure and method for fabricating a display device including the substrate
K.Kobayashi, K.Fujino, I.Sakono, T.Ohmi, S.Sugawa, A.Morimoto
6,992,008
産業財産権の種類: 特許権
-
パターン描画装置および方法
大見忠弘, 柳田公雄, 須川成利, 武久究, 森本達郎
特許4929444
産業財産権の種類: 特許権
-
マスク描画方法、及びマスク描画装置
大見忠弘, 柳田公雄, 須川成利, 武久究, 森本達郎
4496363
産業財産権の種類: 特許権
-
Organic EL device and liquid crystal display
Yoshifumi Kato, Tadahiro Ohmi, Shigetoshi Sugawa, Akihiro Morimoto
7,239,084
産業財産権の種類: 特許権
-
Pattern writing system and pattern writing method
Tadahiro Ohmi, Shigetoshi Sugawa, Kimio Yanagida, Kiwamu Takehisa
7663734
産業財産権の種類: 特許権
-
パターン露光装置および二次元光像発生装置
大見忠弘, 須川成利, 柳田公雄, 武久究
4541010
産業財産権の種類: 特許権
-
Mask making method, mask making device, and mask drawing device
Tadahiro Ohmi, Shigetoshi Sugawa, Kiwamu Takehisa
7,474,383
産業財産権の種類: 特許権
-
Flash memory device and a fabrication process thereof, method of forming a dielectric film
T.Ohmi, S.Sugawa
6,998,355
産業財産権の種類: 特許権
-
Flash memory device and a fabrication process thereof, method of forming a dielectric film
T.Ohmi, S.Sugawa
7,109,083
産業財産権の種類: 特許権
-
マスク作成方法、パターン露光装置、及び、マスク
大見忠弘, 須川成利, 柳田公雄, 武久究
4369248
産業財産権の種類: 特許権
-
液浸型露光装置
大見忠弘, 須川成利, 武久究
4615210
産業財産権の種類: 特許権
-
パターン露光装置
大見忠弘, 須川成利, 柳田公雄, 武久究
4463537
産業財産権の種類: 特許権
-
Method of surface treatment for manufacturing semiconductor device
T. Ohmi, S. Sugawa, A. Teramoto, H. Akahori, K. Nii
7,179,746
産業財産権の種類: 特許権
-
パターン描画装置
大見忠弘, 須川成利, 柳田公雄, 武久究
4455027
産業財産権の種類: 特許権
-
Flash memory device and a fabrication process thereof, method of forming a dielectric film
6838394
産業財産権の種類: 特許権
-
Flash memory device and a fabrication process thereof, method of forming a dielectric film_
6846753
産業財産権の種類: 特許権
-
パターン描画装置
大見忠弘, 須川成利, 柳田公雄, 武久究
4421268
産業財産権の種類: 特許権
-
マスク描画手法、及びマスク描画装置
大見忠弘, 須川成利, 柳田公雄, 武久究
4510429
産業財産権の種類: 特許権
-
固体撮像装置
小泉徹, 光地哲伸, 須川成利
4672976
産業財産権の種類: 特許権
-
パターン描画方法、及びパターン描画装置
大見忠弘, 柳田公雄, 須川成利, 武久究
4250052
産業財産権の種類: 特許権
-
配線製造方法
須川成利, 千葉昌彦
4649557
産業財産権の種類: 特許権
-
Image sensing device using MOS type image sensing elements
T.Kochi, S.Sugawa, I.Ueno, K.Ogawa, T.Koizumi, K.Sakurai, H.Hiyama
6,946,637
産業財産権の種類: 特許権
-
電子ビーム露光装置及び露光方法
大見忠弘, 須川成利, 柳田公雄, 武久究
4199618
産業財産権の種類: 特許権
-
半導体装置の製造方法、及び半導体製造装置
大見忠弘, 須川成利, 寺本章伸, 赤堀浩史, 二井啓一
4351497
産業財産権の種類: 特許権
-
Solid state image pickup device and manufacturing method therefor
Toru Koizumi, Shigetoshi Sugawa, Isamu Ueno, Tetsunobu Kochi, Katsuhito Sakurai, Hiroki Hiyama
7274394
産業財産権の種類: 特許権
-
撮像装置及びそれを用いた撮像システム
小泉徹, 光地哲伸, 樋山拓己, 櫻井克仁, 小川勝久, 上野勇武, 須川成利
4464087
産業財産権の種類: 特許権
-
マスク検査方法、マスク製造方法および露光方法
大見忠弘, 須川成利, 柳田公雄, 武久究
4387700
産業財産権の種類: 特許権
-
マスク作成方法およびマスク作成装置
大見忠弘, 須川成利, 武久究
4387699
産業財産権の種類: 特許権
-
CMOSトランジスタ
西牟田武史, 宮城弘, 大見忠弘, 須川成利, 寺本章伸
4723797
産業財産権の種類: 特許権
-
パターン描画装置
大見忠弘, 須川成利, 柳田公雄, 武久究
4220387
産業財産権の種類: 特許権
-
パターン描画方法及びパターン描画装置
大見忠弘, 須川成利, 柳田公雄, 武久究
4502596
産業財産権の種類: 特許権
-
Semiconductor device fabricated on surface of silicon having <110> direction of crystal plane and its production method
Tadahiro Ohmi, Shigetoshi Sugawa
6903393
産業財産権の種類: 特許権
-
MOS型固体撮像装置の製造方法
小泉徹, 樋山拓己, 光地哲伸, 櫻井克仁, 上野勇武, 須川成利
4185807
産業財産権の種類: 特許権
-
パターン描画装置及びパターン描画方法
大見忠弘, 須川成利, 武久究
4344162
産業財産権の種類: 特許権
-
半導体デバイス製造用マスク作成装置
大見忠弘, 須川成利, 武久究
4358530
産業財産権の種類: 特許権
-
Flash memory device and fabrication process thereof, method of forming a dielectric film
T.Ohmi, S.Sugawa
6,998,354
産業財産権の種類: 特許権
-
Flash memory device and fabrication process thereof, method of forming a dielectric film
T.Ohmi, S.Sugawa
7,001,855
産業財産権の種類: 特許権
-
Flash memory device and fabrication process thereof, method of forming a dielectric film
T.Ohmi, S.Sugawa
7,026,681
産業財産権の種類: 特許権
-
シリコン半導体基板及びその製造方法
山中秀記, 出水清史, 大見忠弘, 寺本章伸, 須川成利
4190906
産業財産権の種類: 特許権
-
Complementary MIS device
T. Ohmi, K. Kotani, S. Sugawa
7,202,534
産業財産権の種類: 特許権
-
Substrate processing method and substrate processing apparatus
Tadahiro Ohmi, Shigetoshi Sugawa, Masaki Hirayama
7,329,609
産業財産権の種類: 特許権
-
Bonded wafer and method of producing bonded wafer
K.Mitani, K.Demizu, I.Yokokawa, T.Ohmi, S.Sugawa
7,052,974
産業財産権の種類: 特許権
-
Substrate having buried structure, display device including the substrate, method of making the substrate and method for fabricating the display device
6815720
産業財産権の種類: 特許権
-
回路基板
大見忠弘, 須川成利, 森本明大, 加藤丈佳, 脇坂康尋
4130883
産業財産権の種類: 特許権
-
Device and method for plasma processing, and slow-wave plate
T.Ohmi, M.Hirayama, S.Sugawa, T.Goto
7,083,701
産業財産権の種類: 特許権
-
Plasma processing device
T.Ohmi, M.Hirayama, S.Sugawa, T.Goto
7,097,735
産業財産権の種類: 特許権
-
Plasma processing device
T.Ohmi, M.Hirayama, S.Sugawa, T.Goto
7,115,184
産業財産権の種類: 特許権
-
Microwave plasma processing apparatus, plasma ignition method, plasma forming method, and plasma processing method
T.Ohmi, S.Sugawa, M.Hirayama, T.Goto
7,141,756
産業財産権の種類: 特許権
-
プラズマ処理装置
大見忠弘, 平山昌樹, 須川成利, 後藤哲也
4012466
産業財産権の種類: 特許権
-
Device and control method for micro wave plasma processing
Tadahiro Ohmi, Masaki Hirayama, Shigetoshi Sugawa, Tetsuya Goto
7,404,991
産業財産権の種類: 特許権
-
Variable function information processor
6559674
産業財産権の種類: 特許権
-
Semiconductor device
T.Ohmi, S.Sugawa, M.Hirayama, Y.Shirai
6,975,018
産業財産権の種類: 特許権
-
Dielectric film and method of forming it, semiconductor device, non-volatile semiconductor memory device, and production method for semiconductor device
Tadahiro Ohmi, Shigetoshi Sugawa, Masaki Hirayama, Yasuyuki Shirai
7,439,121
産業財産権の種類: 特許権
-
基板処理方法および基板処理装置
大見忠弘, 須川成利, 平山昌樹
4252749
産業財産権の種類: 特許権
-
相補型MIS装置
大見忠弘, 小谷光司, 須川成利
4264882
産業財産権の種類: 特許権
-
プラズマ処理装置
大見忠弘, 平山昌樹, 須川成利, 後藤哲也
4402860
産業財産権の種類: 特許権
-
埋め込み構造を有する基板の製造方法および表示装置の製造方法
小林和樹, 藤野公明, 迫野郁夫, 大見忠弘, 須川成利, 森本明大
3983019
産業財産権の種類: 特許権
-
機能可変情報処理装置
大見忠弘, 堺谷智, 宮本直人, 中田明良, 須川成利
4564227
産業財産権の種類: 特許権
-
Single crystal cutting method
T.Ohmi, S.Sugawa, T.Shinohara, T.Ito, K.Kanaya
6,958,094
産業財産権の種類: 特許権
-
Single crystal wafer and solar battery cell
Tadahiro Ohmi, Shigetoshi Sugawa, Tatsuo Ito, Koichi Kanaya
7,459,720
産業財産権の種類: 特許権
-
System for managing circuitry of variable function information processing circuit and method for managing circuitry of variable function information processing circuit
Tadahiro Ohmi, Tatsuo Morimoto, Akira Nakada, Shigetoshi Sugawa
7,424,595
産業財産権の種類: 特許権
-
Flash memory device and a fabrication process thereof, method of forming a dielectric film
6551948
産業財産権の種類: 特許権
-
Method of forming a dielectric film
6669825
産業財産権の種類: 特許権
-
Semiconductor device formed on (111) surface of a Si crystal and fabrication process thereof
T.Ohmi, S.Sugawa, K.Sekine, Y.Saito
7,012,311
産業財産権の種類: 特許権
-
半導体装置およびその製造方法
大見忠弘, 須川成利, 平山昌樹, 白井泰雪
4713752
産業財産権の種類: 特許権
-
プラズマ処理装置
大見忠弘, 平山昌樹, 須川成利, 後藤哲也
4729057
産業財産権の種類: 特許権
-
マイクロ波プラズマプロセス装置,プラズマ着火方法,プラズマ形成方法及びプラズマプロセス方法
大見忠弘, 平山昌樹, 須川成利, 後藤哲也
4799748
産業財産権の種類: 特許権
-
プラズマ処理装置
大見忠弘, 平山昌樹, 須川成利, 後藤哲也
特許5010781
産業財産権の種類: 特許権
-
誘電体膜およびその形成方法、半導体装置、不揮発性半導体メモリ装置、および半導体装置の製造方法
大見忠弘, 須川成利, 平山昌樹, 白井泰雪
5068402
産業財産権の種類: 特許権
-
マイクロ波プラズマプロセス装置及びプラズマプロセス制御方法
大見忠弘, 平山昌樹, 須川成利, 後藤哲也
5138131
産業財産権の種類: 特許権
-
酸化膜の形成方法、酸化膜のスパッタリング方法、酸窒化膜のスパッタリング方法、ゲート絶縁膜の形成方法
大見忠弘, 須川成利
特許4966466
産業財産権の種類: 特許権
-
フラッシュメモリ素子の製造方法
大見忠弘, 須川成利
特許4987206
産業財産権の種類: 特許権
-
プラズマ反応炉システムの運転制御方法及び装置
大見忠弘, 須川成利, 平山昌樹, 白井泰雪, 堀正樹
3982670
産業財産権の種類: 特許権
-
Amplification-type solid state imaging device with reduced shading
T.Yoneda, S.Sugawa, T.Koizumi
7,016,089
産業財産権の種類: 特許権
-
固体撮像装置
3467013
産業財産権の種類: 特許権
-
Solid-state image pickup device using layers having different refractive indices
6605850
産業財産権の種類: 特許権
-
Photoelectric transducer
6437309
産業財産権の種類: 特許権
-
Image pickup device
Hiroki Hiyama, Shigetoshi Sugawa, Isamu Ueno, Toru Koizumi, Tetsunobu Kochi, Katsuhito Sakurai, Yuichiro Yamashita, Tomoya Yoneda
7,456,882
産業財産権の種類: 特許権
-
結晶の切断方法
3530114
産業財産権の種類: 特許権
-
半導体シリコン単結晶ウエーハ
大見忠弘, 須川成利, 伊藤辰夫, 金谷晃一
3910004
産業財産権の種類: 特許権
-
Method for driving liquid crystal display device
6683591
産業財産権の種類: 特許権
-
111面方位を表面に有するシリコンを用いた半導体装置およびその形成方法
大見忠弘, 須川成利, 関根克行, 斎藤祐司
4397491
産業財産権の種類: 特許権
-
Solid-state image pickup apparatus
6850278
産業財産権の種類: 特許権
-
Image sensing apparatus arranged on a single substrate
T.Koizumi, S.Sugawa, I.Ueno, K.Ogawa, T.Kochi, K.Sakurai, T.Endo, H.Hiyama
7,129,985
産業財産権の種類: 特許権
-
固体撮像装置及び画像入力装置
小泉徹, 光地哲伸, 須川成利
3833027
産業財産権の種類: 特許権
-
Solid-state image pickup device and method of resetting the same
T.Koizumi, S.Sugawa, T.Kochi
7,015,964
産業財産権の種類: 特許権
-
固体撮像装置とその駆動方法
3524440
産業財産権の種類: 特許権
-
Sensor unit capable of outputting image signals by blocks and processing circuit which processes image signals by blocks
6587603
産業財産権の種類: 特許権
-
Image sensing device capable of outputting image signals by blocks and processing circuit which processes image signals by blocks
6684000
産業財産権の種類: 特許権
-
Image signal processing method, image signal processing system, storage medium, and image sensing apparatus
Tadahiro Ohmi, Shigetoshi Sugawa, Isam Ueno, Katsuhisa Ogawa, Toru Koizumi, Tetsunobu Kochi, Katsuhito Sakurai, Takahiro Nakayama, Tatsuo Morimoto
6,898,310
産業財産権の種類: 特許権
-
Image sensing device capable of outputting image signals by blocks and processing circuit which processes image signals by blocks
6567571
産業財産権の種類: 特許権
-
Image pickup apparatus capable of switching modes based on signals from photoelectric conversion pixels
6839084
産業財産権の種類: 特許権
-
Solid-state image pickup element
6831685
産業財産権の種類: 特許権
-
Solid state image pickup device with LDD structure and reset transistor
6661459
産業財産権の種類: 特許権
-
Solid-state image sensing apparatus and method of operating the same
H.Hiyama, S.Sugawa, I.Ueno, T.Koizumi, T.Kochi, K.Sakurai
6,963,372
産業財産権の種類: 特許権
-
Solid-state image pickup device
6188094
産業財産権の種類: 特許権
-
Solid state image pickup apparatus
T.Kochi, S.Sugawa, I.Ueno, T.Koizumi, K.Sakurai, H.Hiyama
7,110,030
産業財産権の種類: 特許権
-
固体撮像装置
小川勝久, 上野勇武, 櫻井克仁, 小泉徹, 光地哲伸, 樋山拓己, 須川成利
3639734
産業財産権の種類: 特許権
-
Image pickup apparatus with color filter array and means for adding and subtracting signals
6757016
産業財産権の種類: 特許権
-
Solid-state image pickup device with optimum layout of building components around a photoelectric conversion portion
6633334
産業財産権の種類: 特許権
-
Solid state image pickup device and signal reading method thereof
6784928
産業財産権の種類: 特許権
-
Image pickup element
K.Ogawa, S.Sugawa, H.Arai, I.Ueno, T.Koizumi, T.Kochi, K.Sakurai, H.Hiyama
7,142,233
産業財産権の種類: 特許権
-
固体撮像装置およびカメラ
3592106
産業財産権の種類: 特許権
-
固体撮像装置およびカメラ_
3592107
産業財産権の種類: 特許権
-
Image sensing device using MOS-type image sensing element whose threshold voltage of charge transfer switch and reset switch is different from that of signal output transistor
6670990
産業財産権の種類: 特許権
-
カラー撮像装置、画像信号読み出し方法、画像処理装置、画像処理システム、及び記憶媒体
上野勇武, 桜井克仁, 小川勝久, 小泉徹, 光地哲伸, 樋山 拓己, 須川成利
4377976
産業財産権の種類: 特許権
-
Photoelectric conversion apparatus
6118115
産業財産権の種類: 特許権
-
撮像センサ、画像信号処理方法、画像信号処理システム、撮像装置及び記憶媒体
小川勝久, 上野勇武, 光地哲伸, 小泉徹, 櫻井克仁, 須川成利
3667098
産業財産権の種類: 特許権
-
撮像センサ、画像信号処理方法、画像信号処理システム、撮像装置及び記憶媒体
光地哲伸, 小泉徹, 櫻井克仁, 小川勝久, 上野勇武, 須川成利
3728107
産業財産権の種類: 特許権
-
画像信号処理方法、画像信号処理システム、撮像装置及び記憶媒体
櫻井克仁, 光地哲伸, 小川勝久, 上野勇武, 須川成利
4164161
産業財産権の種類: 特許権
-
画像信号処理方法、画像信号処理システム、記憶媒体及び撮像装置
大見忠弘, 森本達郎, 中山貴裕, 須川成利, 上野勇武, 小川勝久, 光地哲伸, 小泉徹, 櫻井克仁
4683678
産業財産権の種類: 特許権
-
光電変換装置
3507336
産業財産権の種類: 特許権
-
撮像素子、画像処理装置、画像処理システム、及び記憶媒体
櫻井克仁, 光地哲伸, 小川勝久, 上野勇武, 須川成利
2652123
産業財産権の種類: 特許権
-
固体撮像装置
櫻井克仁, 光地哲伸, 小泉徹, 樋山拓己, 上野勇武, 須川成利
3667094
産業財産権の種類: 特許権
-
Photoelectric transducer
6163024
産業財産権の種類: 特許権
-
固体撮像素子及び固体撮像装置
上野勇武, 櫻井克仁, 小川勝久, 小泉徹, 光地哲伸, 樋山拓己, 須川成利
3792894
産業財産権の種類: 特許権
-
固体撮像装置及びその製造方法
3571909
産業財産権の種類: 特許権
-
CMOSセンサ及び撮像システム
上野勇武, 桜井克仁, 小川勝久, 小泉徹, 光地哲伸, 樋山拓己, 須川成利
4006075
産業財産権の種類: 特許権
-
光電変換装置
3487575
産業財産権の種類: 特許権
-
固体撮像装置
3496918
産業財産権の種類: 特許権
-
固体撮像装置および固体撮像装置の信号読み出し方法
3548410
産業財産権の種類: 特許権
-
Solid-state image pickup device having a plurality of photoelectric conversion elements on a common substrate
5801373
産業財産権の種類: 特許権
-
Semiconductor device
5998854
産業財産権の種類: 特許権
-
光電変換装置
小塚開, 小出能男, 須川成利
3673620
産業財産権の種類: 特許権
-
Eliminating the influence of random noise produced by an optical black pixel on a reference output
6130712
産業財産権の種類: 特許権
-
Photoelectric conversion device with graded band gap and carrier concentration
5869851
産業財産権の種類: 特許権
-
光電変換装置
3592037
産業財産権の種類: 特許権
-
Method for driving liquid crystal display device
6031514
産業財産権の種類: 特許権
-
Production process of color liquid crystal display device
6132800
産業財産権の種類: 特許権
-
Image correlator, an image processing apparatus using the same, and a signal adder used in the image correlator
5917960
産業財産権の種類: 特許権
-
Photoelectric conversion apparatus and image reading apparatus with good crosstalk characteristics
5861655
産業財産権の種類: 特許権
-
Liquid crystal display apparatus with a plural layer connection between the TFT drains and the pixel electrodes
5644370
産業財産権の種類: 特許権
-
固体撮像装置
3142239
産業財産権の種類: 特許権
-
アクティブマトリクス型液晶表示装置
3143582
産業財産権の種類: 特許権
-
Semiconductor device
5789790
産業財産権の種類: 特許権
-
Liquid crystal image display unit and method for fabricating semiconductor optical member
5827755
産業財産権の種類: 特許権
-
Laminated solid-state image pickup device and a method for manufacturing the same
5677201
産業財産権の種類: 特許権
-
Thin film semiconductor device and photoelectric conversion device using the thin film semiconductor device
5686734
産業財産権の種類: 特許権
-
Photoelectric conversion apparatus
5723877
産業財産権の種類: 特許権
-
Photoelectric conversion device and its manufacturing method
5600152
産業財産権の種類: 特許権
-
Liquid crystal display with display area having same height as peripheral portion thereof
5513028
産業財産権の種類: 特許権
-
Laminated solid-state image sensing apparatus and method of manufacturing the same
5557121
産業財産権の種類: 特許権
-
Liquid crystal device and driving method therefor
5694145
産業財産権の種類: 特許権
-
Liquid crystal device with substrates of different materials and similar thermal expansion coefficients
5644373
産業財産権の種類: 特許権
-
Semiconductor device including a lateral-type transistor
5508550
産業財産権の種類: 特許権
-
Laminated solid-state image pickup device
5481124
産業財産権の種類: 特許権
-
液晶表示素子の製造方法
3108835
産業財産権の種類: 特許権
-
Silicon-on-insulator CMOS device and a liquid crystal display with controlled base insulator thickness
5434441
産業財産権の種類: 特許権
-
Photoelectric converting device and image processing apparatus using the same
5744849
産業財産権の種類: 特許権
-
Silicon-on-insulator CMOS device and a liquid crystal display with controlled base insulator thickness
5412240
産業財産権の種類: 特許権
-
Liquid crystal image display unit and method for fabricating semiconductor optical member
5530266
産業財産権の種類: 特許権
-
Liquid crystal display device
5691794
産業財産権の種類: 特許権
-
Solid-state image pickup device with a plurality of photoelectric conversion elements on a common semiconductor chip
5453611
産業財産権の種類: 特許権
-
Photoelectric converting device and image processing apparatus utilizing the same
5414275
産業財産権の種類: 特許権
-
Photoelectric conversion apparatus
6127692
産業財産権の種類: 特許権
-
液晶表示装置
3079402
産業財産権の種類: 特許権
-
液晶表示装置及びその検査方法
3122866
産業財産権の種類: 特許権
-
液晶表示装置
2987794
産業財産権の種類: 特許権
-
イメージセンサ及び画像情報処理装置
3083013
産業財産権の種類: 特許権
-
イメージセンサ及び画像情報処理装置
3420555
産業財産権の種類: 特許権
-
固体撮像装置_
3083014
産業財産権の種類: 特許権
-
イメージセンサ
3227249
産業財産権の種類: 特許権
-
表示パネル
3127328
産業財産権の種類: 特許権
-
アクティブマトリクス型液晶表示装置_
3243583
産業財産権の種類: 特許権
-
液晶素子の駆動方法および装置
3090239
産業財産権の種類: 特許権
-
Signal processor having avalanche photodiodes
5401952
産業財産権の種類: 特許権
-
Photoelectric transfer device
5260560
産業財産権の種類: 特許権
-
アクティブマトリックス液晶表示装置
2824818
産業財産権の種類: 特許権
-
液晶画像表示装置の製造方法
3154100
産業財産権の種類: 特許権
-
液晶表示装置
3069930
産業財産権の種類: 特許権
-
半導体装置及び液晶表示装置
3191061
産業財産権の種類: 特許権
-
Photoelectric converting device and image processing apparatus utilizing the same
5245201
産業財産権の種類: 特許権
-
Photoelectric converting device and information processing apparatus employing the same
5283428
産業財産権の種類: 特許権
-
Image sensor device having plural photoelectric converting elements
RE34309
産業財産権の種類: 特許権
-
Photoelectric transfer device
5155351
産業財産権の種類: 特許権
-
光電変換装置
2959681
産業財産権の種類: 特許権
-
光電変換装置_
2977165
産業財産権の種類: 特許権
-
光電変換装置
2765635
産業財産権の種類: 特許権
-
光電変換装置
2977164
産業財産権の種類: 特許権
-
Photoelectric transducer apparatus having a plurality of transducer elements and a plurality of capacitor elements
5019702
産業財産権の種類: 特許権
-
光電変換装置
2838906
産業財産権の種類: 特許権
-
Photoelectric conversion apparatus with reresh voltage
5060042
産業財産権の種類: 特許権
-
Photoelectric conversion apparatus without isolation regions
4962412
産業財産権の種類: 特許権
-
Semiconductor device and signal processing device having said device provided therein
5040041
産業財産権の種類: 特許権
-
半導体装置及びそれを搭載した信号処置装置
2642750
産業財産権の種類: 特許権
-
Signal read-out circuit which lowers diffusion capacitance by limiting emitting current with resistive elements
4967067
産業財産権の種類: 特許権
-
Photoelectric conversion apparatus with shielded cell
4972243
産業財産権の種類: 特許権
-
Device and method of photoelectrically converting light into electrical signal
4847668
産業財産権の種類: 特許権
-
Photo signal storing sensor device
4870266
産業財産権の種類: 特許権
-
Photoelectric converting apparatus having carrier eliminating means
4879470
産業財産権の種類: 特許権
-
Photoelectric converting apparatus to prevent the outflow of excess carriers
4866293
産業財産権の種類: 特許権
-
Photoelectric converting apparatus with a switching circuit and a resetting circuit for reading and resetting a plurality of lines sensors
4835404
産業財産権の種類: 特許権
-
Photoelectric conversion device with reduced fixed pattern noises
4810896
産業財産権の種類: 特許権
-
光電変換装置
平8-4132
産業財産権の種類: 特許権
-
Image sensor device having plural photoelectric converting elements
4831454
産業財産権の種類: 特許権
-
撮像装置
石崎明, 中村佳夫, 須川成利
平5-63070
産業財産権の種類: 特許権
-
光電変換素子の蓄積信号処理装置
石崎明, 中村佳夫, 須川成利
平7-10098
産業財産権の種類: 特許権
-
光電変換装置
須川成利
平6-82819
産業財産権の種類: 特許権
-
撮像装置
2589297
産業財産権の種類: 特許権
-
光電変換装置
平8-15320
産業財産権の種類: 特許権
-
光電変換装置
2501207
産業財産権の種類: 特許権
-
Photoelectric conversion device
4751559
産業財産権の種類: 特許権
-
光電変換装置
平7-120767
産業財産権の種類: 特許権
-
光電変換装置
2741703
産業財産権の種類: 特許権
-
光電変換装置
田中信義, 中村佳夫, 須川成利, 大図逸男
平6-44619
産業財産権の種類: 特許権
-
Photoelectric converting device
4814846
産業財産権の種類: 特許権
-
光電変換装置
平7-36615
産業財産権の種類: 特許権
-
光電変換装置
平7-46839
産業財産権の種類: 特許権
-
光電変換装置
須川成利, 田中信義, 鈴木敏司
平6-54957
産業財産権の種類: 特許権
-
光電変換装置
須川成利, 田中信義, 鈴木敏司, 大見忠弘
平6-93764
産業財産権の種類: 特許権
-
光電変換装置
須川成利, 田中信義, 鈴木敏司, 大見忠弘
平6-101814
産業財産権の種類: 特許権
-
光電変換装置
平7-60888
産業財産権の種類: 特許権
-
光電変換装置
平7-19881
産業財産権の種類: 特許権
-
光電変換装置__
平5-23550
産業財産権の種類: 特許権
-
光電変換装置
田中信義, 鈴木敏司, 鈴木常夫, 尾崎正晴, 須川成利, 篠原真人
平5-23548
産業財産権の種類: 特許権
-
光電変換装置_
田中信義, 鈴木敏司, 鈴木常夫, 尾崎正晴, 須川成利, 篠原真人
平5-23549
産業財産権の種類: 特許権
-
固体撮像装置
平7-73344
産業財産権の種類: 特許権
共同研究・競争的資金等の研究課題 11
-
イメージセンサ, 電子デバイス, 集積回路, 半導体プロセス 競争的資金
1999年9月 ~ 継続中
-
作物の生理障害の機構解明におけるブレークスルーテクノロジーの開発と検証
金山 喜則, 高橋 英樹, 渡部 敏裕, 須川 成利, 栗原 大輔, 黒田 理人
2021年4月5日 ~ 2026年3月31日
-
1光子検出の感度および線形・高飽和性能を有するCMOS撮像素子の創出
須川 成利, 黒田 理人
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research
研究種目:Grant-in-Aid for Scientific Research (A)
研究機関:Tohoku University
2015年4月1日 ~ 2018年3月31日
-
原子レベル平坦界面トランジスタのゲート絶縁膜リーク電流の高精度統計的解析
須川 成利, 黒田 理人
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research
研究種目:Grant-in-Aid for Scientific Research (B)
研究機関:Tohoku University
2012年4月1日 ~ 2015年3月31日
-
高感度と広ダイナミックレンジ性能を両立した高性能CMOSイメージセンサ
須川 成利
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research
研究種目:Grant-in-Aid for Scientific Research (B)
研究機関:Tohoku University
2007年 ~ 2008年
-
高感度高S/N性能を維持した100dB超の広ダイナミックレンジ固体撮像素子
須川 成利, 小谷 光司
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research
研究種目:Grant-in-Aid for Scientific Research (B)
研究機関:Tohoku University
2004年 ~ 2005年
-
Si(110)面金属基板SOI・Balanced-CMOS超高速高精度集積回路
大見 忠弘, 平山 昌樹, 小谷 光司, 須川 成利
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research
研究種目:Grant-in-Aid for Scientific Research (A)
研究機関:TOHOKU UNIVERSITY
2002年 ~ 2003年
-
微細化世代に依存しないダメージフリー新規コンタクト/ビア形成技術の研究
須川 成利, 大見 忠弘
2001年 ~ 2003年
-
高収益超短時間半導体製造を実現する気液混合型フォトレジスト剥離技術
須川 成利, 大見 忠弘
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research
研究種目:Grant-in-Aid for Scientific Research (B)
研究機関:TOHOKU UNIVERSITY
2001年 ~ 2002年
-
瞬時画像処理並列プロセッサ内蔵高感度高精細増幅型固体撮像システムの研究
須川 成利, 大見 忠弘, 小谷 光司
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research
研究種目:Grant-in-Aid for Scientific Research (A)
研究機関:TOHOKU UNIVERSITY
2001年 ~ 2002年
-
気体分離配線構造を有するTaゲート金属基板SOI超高速超微細LSIの開発
大見 忠弘, 平山 昌樹, 小谷 光司, 須川 成利
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research
研究種目:Grant-in-Aid for Scientific Research (A)
研究機関:Tohoku University
2000年 ~ 2001年
担当経験のある科目(授業) 20
-
電子回路Ⅱ 東北大学 工学部
-
電子回路B 東北大学 工学部
-
電気回路学Ⅱ 東北大学 工学部
-
集積回路工学 東北大学 工学部
-
半導体デバイス 東北大学 工学部
-
電子工学概論 東北大学 工学部
-
工業物理学概論 東北大学 工学部
-
画像電子工学 東北大学 工学部
-
技術マネジメント概論 東北大学 工学部
-
創造工学研修 東北大学 工学部
-
基礎ゼミ 東北大学 工学部
-
技術適応計画特論 東北大学 大学院工学研究科
-
極限表面制御プロセス工学特論 東北大学 大学院工学研究科
-
極限知能デバイス工学特論 東北大学 大学院工学研究科
-
知能デバイス工学 東北大学 大学院工学研究科
-
デバイス生産工学 東北大学 大学院工学研究科
-
エクステンションスクール 東北大学 大学院工学研究科
-
イメージセンシング工学 東北大学 大学院工学研究科
-
プロジェクトマネジメント論 東北大学 大学院工学研究科
-
イノベーションマネジメント論 東北大学 大学院工学研究科
メディア報道 45
-
須川成利教授がScholarGPSの生涯業績の部においてトップ・スカラーに選ばれました。(須川PJ)
東北大学未来科学技術共同研究センター
2024年10月21日
メディア報道種別: その他
-
半導体テクノロジーシンポジウム 画期的な技術 産官学で共創
日本経済新聞
2022年11月28日
メディア報道種別: 新聞・雑誌
-
社会に役立つ製品に「高速度ビデオカメラ」など表彰
NHK おはようニッポン
2022年11月4日
メディア報道種別: テレビ・ラジオ番組
-
半導体の高度人材、九州は手薄、「弱い大学」返上なるか
日本経済新聞 電子版
2022年6月8日
メディア報道種別: 新聞・雑誌
-
須川成利リサーチプロフェッサーが第47回井上春成賞を受賞
東北大学
2022年6月2日
メディア報道種別: その他
-
ナノバブルの安定化と作用メカニズムを解明 水と空気を利用した「人」と「環境」に優しい工学技術を確立(須川PJ)
東北大学未来科学技術共同研究センター
2021年4月
メディア報道種別: その他
-
「見る世界」を根本的に変革するCMOSイメージセンサの極限性能の追求
ながれともにながれをこえて
2020年12月
メディア報道種別: 新聞・雑誌
-
高感度・高精細・リアルタイム近接容量イメージセンサを開発
東北大学
2019年1月
メディア報道種別: その他
-
111年目を迎えた東北大学は半導体の天才を次々と生み出した
電子デバイス産業新聞
2018年11月30日
メディア報道種別: 新聞・雑誌
-
超小型紫外線センシングSiフォトダイオードを実用化
東北大学
2018年7月
メディア報道種別: その他
-
産官学のフューチャープランCMOSイメージセンサ第一人者の須川教授が指導
電子デバイス産業新聞
2017年11月23日
メディア報道種別: 新聞・雑誌
-
技術社会システム専攻の須川成利教授の研究グループが光感度をISO16000に高めた毎秒1,000万コマの超高速撮影が可能な高速度ビデオカメラの製品実用化に成功しました。
東北大学工学研究科・工学部
2015年7月17日
メディア報道種別: その他
-
秒間1000万コマの超高速撮影 高速度ビデオカメラ発売
電波新聞
2015年7月17日
メディア報道種別: 新聞・雑誌
-
高速ビデオカメラ 光感度6倍に向上
化学工業日報
2015年7月17日
メディア報道種別: 新聞・雑誌
-
毎秒1000万コマ撮影可能 高速度ビデオカメラ開発 島津
日刊工業新聞
2015年7月17日
メディア報道種別: 新聞・雑誌
-
1,000万コマ/秒の超高速撮影と従来機比約6倍の光感度を実現した
株式会社島津製作所
2015年7月16日
メディア報道種別: その他
-
「平成27年度全国発明表彰」の「日本経済団体連合会会長発明賞」を受賞
キヤノン株式会社
2015年5月21日
メディア報道種別: その他
-
科学を変える1,000万分の1秒
2013年3月
メディア報道種別: 新聞・雑誌
-
1000万分の1秒の世界をとらえる
JST
2012年12月
メディア報道種別: 新聞・雑誌
-
「平成 24 年度関東地方発明表彰」の「日本弁理士会会長奨励賞」を受賞
キヤノン(株)ニュースリリース
2012年11月27日
メディア報道種別: その他
-
1秒間に1000 万コマの超高速撮影が可能な高速度ビデオカメラの製品実用化に成功
2012年9月3日
メディア報道種別: 新聞・雑誌
-
半導体回路の描画装置 超音波モーターや光源用LED導入 価格1/3,設置面積1/10
日経産業新聞
2011年12月7日
メディア報道種別: 新聞・雑誌
-
イメージセンサー 毎秒2000万コマ撮影 東北大など速度20倍に
2011年4月18日
メディア報道種別: 新聞・雑誌
-
2000万コマ/秒の超高速動画撮影が可能なCMOSイメージセンサの開発に成功 - 超高速現象の解明へ道 -
東北大学工学研究科・工学部情報広報室
2011年4月5日
メディア報道種別: その他
-
「とんがった単体性能より,システムにとって最適な性能」,アナログの明日を考えるパネル討論会
日経BP Tech-On EDA Online
2008年10月27日
メディア報道種別: 新聞・雑誌
-
ここまで分かってきたランダム・テレグラフ・シグナル・ノイズ,東北大が講演
日経BP Tech-On EDA Online
2008年10月7日
メディア報道種別: 新聞・雑誌
-
東北大グループ高性能センサー開発 デジカメ用暗くてもOK画像化20倍
河北新報
2008年10月2日
メディア報道種別: 新聞・雑誌
-
日本TI,監視カメラ向けCCDを超える高画質を実現したワイドダイナミックレンジCMOSセンサを発表
日経など多数
2008年9月11日
メディア報道種別: その他
-
監視カメラ向けワイドダイナミックレンジCMOSセンサの実用化に成功 感度とダイナミックレンジでCCDを超える高画質を実現
東北大学
2008年9月11日
メディア報道種別: その他
-
クルマの電子化が止まらない(第2回)
日経BP Tech-On カーエレ
2008年5月28日
メディア報道種別: 新聞・雑誌
-
大手半導体製造装置メーカーで広がる全社的な知的財産意識の向上
日経知財ナビ
2007年7月5日
メディア報道種別: 新聞・雑誌
-
東北地域における半導体等製造装置関連産業の競争力強化に向けて
東北経済産業局
2007年6月14日
メディア報道種別: その他
-
知れば分かる、知財は頼れる味方です
東北経済産業局 東北経済産業情報 東北21
2007年1月
メディア報道種別: その他
-
半導体産業を集積 東北経済局、企業などの連携推進
河北新報
2006年11月1日
メディア報道種別: 新聞・雑誌
-
2006秋 CCD/CMOSイメージセンサ徹底検証 電子ジャーナル
2006年9月26日
-
撮像素子のこれまでとこれから(下)
日経エレクトロニクス
2006年7月3日
メディア報道種別: 新聞・雑誌
-
イメージセンサは大型化、高速化、広ダイナミック・レンジが進展
日経TechOn
2006年6月16日
メディア報道種別: 新聞・雑誌
-
勝ちたいならブラックボックス技術を持て
日経ものづくり
2006年3月
メディア報道種別: 新聞・雑誌
-
ブラックボックス化と技術離れと教育
日経TechOn
2006年2月2日
メディア報道種別: 新聞・雑誌
-
ソニーから背面照射CMOSセンサ、東北大などは照度範囲200dB到達
日経TechOn
2005年11月30日
メディア報道種別: 新聞・雑誌
-
CCD/CMOSイメージセンサ2005徹底検証 電子ジャーナル
2005年9月14日
-
Micron、Samsungを筆頭に撮像素子に攻め入る海外勢
日経エレクトロニクス
2005年7月4日
メディア報道種別: 新聞・雑誌
-
ダイナミック・レンジが100dBのカラーCMOS型固体撮像素子、東北大学が開発
日経TechOn
2005年6月17日
メディア報道種別: 新聞・雑誌
-
イメージセンサ2005 日経エレクトロニクス
2005年5月16日
-
面白いMOTセミナー、面白くないMOTセミナー
日経ビジネスイノベーター
2005年2月24日
メディア報道種別: 新聞・雑誌