Details of the Researcher

PHOTO

Shigetoshi Sugawa
Section
New Industry Creation Hatchery Center
Job title
Professor
Degree
  • 博士(工学)(東北大学)

  • 理学修士(東京工業大学)

Research History 2

  • 1999/09 - Present
    Tohoku University

  • 1982/04 - 1999/08
    Canon Inc.

Education 3

  • Tohoku University Graduate School of Engineering Department of Electronics

    1995/04 - 1996/03

  • Tokyo Institute of Technology Graduate School of Science and Engineering Department of Physics

    1980/04 - 1982/03

  • Tokyo Institute of Technology Faculty of Science Department of Physics

    1978/04 - 1982/03

Professional Memberships 2

  • The Institute of Image Information and Television Engineering

  • The Institute of Electrical and Electronics Engineering (IEEE)

Research Interests 4

  • CMOS

  • Semiconductor

  • Image Sensor

  • Electronics

Research Areas 1

  • Manufacturing technology (mechanical, electrical/electronic, chemical engineering) / Electronic devices and equipment / Solid State Electronics

Awards 15

  1. The 2025 IISS Pioneering Achievement Award

    2025/06 International Image Sensor Society(IISS) High dynamic range charge overflow pixel technologies

  2. 丹羽高柳賞 功績賞

    2024/05 映像情報メディア学会

  3. 61st. Niwa-Takayanagi Award

    2022/05 High Accuracy High Spatial Resolution and Real-Time CMOS Proximity Capacitance Image Sensor Technology and its Applications

  4. 第47回(令和4年度)井上春成賞

    2022/05 国立研究開発法人科学技術振興機構 高速度ビデオカメラの開発

  5. The 2016 nac High Speed Imaging Award

    2016/11 International Congress on High Speed Imaging and Photonics The development of Ultra High Speed CMOS Image Sensor

  6. 全国発明表彰日本経済団体連合会会長発明賞

    2015/06/17 公益社団法人発明協会

  7. 2015 International Image Sensor Workshop Best Poster Award

    2015/06/10 Program Committee, 2015 International Image Sensor Workshop

  8. 映像情報メディア学会フェロー称号授与

    2015/05 映像情報メディア学会

  9. 映像情報メディア学会 第17回・平成26年度優秀研究発表賞

    2014/12/17 映像情報メディア学会 20Mfpsの撮像速度を有する超高速CMOSイメージセンサの画素構造

  10. 丹羽高柳賞 論文賞

    2009/05 映像情報メディア学会 局所境界要素法によるイメージセンサの3次元波動光学シミ ュレーション

  11. 優秀研究発表賞

    2008/12 映像情報メディア学会 大規模アレイTEGを用いた画素ソースフォロア相当のトランジス タのランダム・テレグラフ・シグナル・ノイズの統計的解析

  12. 生産システム部門 技術業績賞

    2008/07 日本機械学会 群企画製品のモジュール化設計をベースとした生 産システムの開発

  13. 特許審査委員会賞

    1991/05 キヤノン株式会社 光電変換装置開発

  14. 社長賞

    1990/05 キヤノン株式会社 固定パターンノイズを低減させた光電変換装置開発

  15. 特別社長賞

    1988/05 キヤノン株式会社 BASISオートフォーカスセンサ開発

Show all ︎Show 5

Papers 660

  1. A High SNR Global Shutter CMOS Image Sensor Technology for High Precision Absorption Imaging Applications

    Tetsu Oikawa, Rihito Kuroda, Aoi Hamaya, Yoshinobu Shiba, Takafumi Inada, Yushi Sakai, Yasuyuki Shirai, Shigetoshi Sugawa

    ITE Transactions on Media Technology and Applications 12 (2) 167-174 2024/04

    Publisher: Institute of Image Information and Television Engineers

    DOI: 10.3169/mta.12.167  

    eISSN: 2186-7364

  2. Threshold voltage uniformity improvement by introducing charge injection tuning for low-temperature poly-Si thin film transistors with metal/oxide/nitride/oxide/silicon structure Peer-reviewed

    Tetsuya Goto, Tomoyuki Suwa, Keita Katayama, Shu Nishida, Hiroshi Ikenoue, Shigetoshi Sugawa

    Japanese Journal of Applied Physics 63 (2) 02SP51 2024/01/17

    Publisher: IOP Publishing

    DOI: 10.35848/1347-4065/ad184d  

    ISSN: 0021-4922

    eISSN: 1347-4065

    More details Close

    Abstract Low-temperature poly-Si thin film transistors (TFTs) with a metal/oxide/nitride/oxide/silicon structure were fabricated to investigate the feasibility of suppressing threshold voltage variations between TFTs. By applying relatively high positive and negative gate bias voltages, threshold voltage could be tuned positively and negatively, respectively, by injecting charges into the charge trap layer. Stability of threshold voltage against positive gate bias at a level close to the actual circuit operation was not degraded compared with the case of an as-fabricated TFT. A uniform threshold voltage distribution could be achieved in a preliminary test using 16 TFTs by converging threshold voltages with a target value by tuning the threshold voltage for each TFT.

  3. Nanoshell Formation at the Electrically Charged Gas–Water Interface of Collapsing Microbubbles: Insights from Atomic Force Microscopy Imaging Peer-reviewed

    Masayoshi Takahashi, Yasuyuki Shirai, Shigetoshi Sugawa

    The Journal of Physical Chemistry Letters 15 (1) 220-225 2024/01/11

    DOI: 10.1021/acs.jpclett.3c03314  

  4. Impact of Bulk Nanobubble Water on a TiO<inf>2</inf> Solid Surface: A Case Study for Medical Implants

    Masayoshi Takahashi, Masahiro Nakazawa, Takahiro Nishimoto, Mitsuyuki Odajima, Yasuyuki Shirai, Shigetoshi Sugawa

    Langmuir 2024

    DOI: 10.1021/acs.langmuir.4c03339  

    ISSN: 0743-7463

    eISSN: 1520-5827

  5. Visualization and Analysis of Temporal and Steady-State Gas Concentration in Process Chamber Using 70-dB SNR 1,000 fps Absorption Imaging System Peer-reviewed

    Y. Sakai, Y. Shiba, T. Inada, T. Goto, T. Suwa, T. Oikawa, A. Hamaya, A. Sutoh, T. Morimoto, Y. Shirai, S. Sugawa, R. Kuroda

    IEEE Transactions on Semiconductor Manufacturing 36 (4) 515-519 2023/11

    Publisher: Institute of Electrical and Electronics Engineers (IEEE)

    DOI: 10.1109/tsm.2023.3267024  

    ISSN: 0894-6507

    eISSN: 1558-2345

  6. Mineralization of Poly(vinyl alcohol) by Ozone Microbubbles under a Wide Range of pH Conditions Peer-reviewed

    Masayoshi Takahashi, Ryo Nakatsuka, Shuzo Kutsuna, Yasuyuki Shirai, Shigetoshi Sugawa

    Langmuir 39 15215-15221 2023/10/18

    DOI: 10.1021/acs.langmuir.3c01838  

  7. Threshold Voltage Control of LTPS TFTs with MONOS Structure Peer-reviewed

    Tetsuya Goto, Tomoyuki Suwa, Keita Katayama, Shu Nishida, Hiroshi, Ikenoue, Shigetoshi Sugawa

    2023 International Conference on Solid State Devices and Materials E-7-02 245-246 2023/09

  8. Characterization of MONOS-Type Polycrystalline Silicon Thin Film Transistors Peer-reviewed

    Tetsuya Goto, Tomoyuki Suwa, Keita Katayama, Shu Nishida, Hiroshi Ikenoue, Shigetoshi Sugawa

    2023 Asia-Pacific Workshop on Fundamentals and Applications of advanced Semiconductor Devices (AWAD2023) p-4 2023/07

  9. Accelerated germination of aged recalcitrant seeds by K+-rich bulk oxygen nanobubbles

    Mijung Kim, Akio Shoji, Toshiaki Kobayashi, Yasuyuki Shirai, Shigetoshi Sugawa, Masayoshi Takahashi

    Scientific Reports 13 (1) 2023/02

    DOI: 10.1038/s41598-023-30343-2  

    eISSN: 2045-2322

  10. In Situ Measurement and Analysis of Low Pressure Gas Concentration Distribution Using 70-dB SNR 1,000 Frame-per-second Absorption Imaging System Peer-reviewed

    Yushi Sakai, Yoshinobu Shiba, Takafumi Inada, Tetsuya Goto, Tomoyuki Suwa, Akihito Sutoh, Tatsuo Morimoto, Yasuyuki Shirai, Shigetoshi Sugawa, Tetsu Oikawa, Aoi Hamaya, Rihito Kuroda

    International Symposium on Semiconductor Manufacturing 2022 PM-41 2022/12

  11. Effect of charge-up of surfaces of sintered Y2O3 and yttrium oxyfluoride on their erosion rates due to ion bombardment Peer-reviewed

    Tetsuya Goto, Yoshinobu Shiba, Akinobu Teramoto, Yukio Kishi, Shigetoshi Sugawa

    Journal of Vacuum Science & Technology B 40 (6) 062205-1-062205-7 2022/11

    Publisher: American Vacuum Society

    DOI: 10.1116/6.0002162  

    ISSN: 2166-2746

    eISSN: 2166-2754

    More details Close

    The erosion rate of sintered Y2O3 and yttrium oxyfluoride (Y-O-F) due to Ar ion bombardment was investigated for use in the plasma process chamber. The Ar ion bombardment was performed by irradiations of Ar plasma and Ar ion beam. In addition, charge-up behavior of these ceramics was investigated by two methods. One was the measurement of the surface voltage during the plasma irradiation (the so-called self-bias voltage), and the other was the measurement of the surface voltage generated due to the accumulation of static electricity in the clean room air. It was found that the negative self-bias voltage of the Y2O3 surface was smaller than that of Y-O-F. It was also found that Y2O3 was easily positively charged by the accumulation of the static electricity compared to Y-O-F, which was consistent with the observed relationship of the self-bias voltages between Y2O3 and Y-O-F. For the evaluation of the erosion rate due to Ar ion bombardment, it was found that the material and setting of masks to make the erosion step for evaluating the rate greatly affected the results. When electrically conductive masks with electrically connecting to a substrate were used, the erosion rate of Y-O-F was smaller than that of Y2O3. The results suggested that the intrinsic ion-bombardment-induced erosion rate of Y-O-F was smaller than that of Y2O3, because the ion bombardment energy was expected to be almost the same due to the existence of the conductive masks. On the other hand, when insulating masks were used, the rates of Y2O3 and Y-O-F were almost the same level. Considering the aforementioned charge-up behavior, the results suggested that a relatively larger positive charge-up of the Y2O3 surface during the ion bombardment decelerated injecting ions, resulting in the decrease in ion bombardment energy and, thus, the erosion rate.

  12. High Resolution and High Speed Inspection Equipment for Mini-LED Substrates Peer-reviewed

    Toshiro Yasuda, Kazuhisa Kobayashi, Yuichi Yamamoto, Hiroshi Hamori, Wei, Cheng, Ryan Ge, Shigetoshi Sugawa

    International Conference on Display Technology, ICDT 2022 53 (S1) 363-366 2022/07

    Publisher: Wiley

    DOI: 10.1002/sdtp.15949  

    ISSN: 0097-966X

    eISSN: 2168-0159

  13. Silicon Nitride Film Formations Using Magnetic-Mirror Confined Plasma System Developed for Minimal Fab System Peer-reviewed

    Tetsuya Goto, Thai Quoc Cuong, Seiji Kobayashi, Yuki Yabuta, Shigetoshi, Sugawa Shiro Hara

    2022 Asia-Pacific Workshop on Fundamentals and Applications of advanced Semiconductor Devices (AWAD) 2022/07

  14. Adsorption and surface reaction of isopropyl alcohol on SiO2 surfaces Peer-reviewed

    Takezo Mawaki, Akinobu Teramoto, Katsutoshi Ishii, Yoshinobu Shiba, Rihito Kuroda, Tomoyuki Suwa, Shuji Azumo, Akira Shimizu, Kota Umezawa, Yasuyuki Shirai, Shigetoshi Sugawa

    Journal of Vacuum Science & Technology A 40 (5) 053201-1-053201-8 2022/07

  15. A 70-dB SNR High-Speed Global Shutter CMOS Image Sensor for in Situ Fluid Concentration Distribution Measurements Peer-reviewed

    Tetsu Oikawa, Rihito Kuroda, Keigo Takahashi, Yoshinobu Shiba, Yasuyuki Fujihara, Hiroya Shike, Maasa Murata, Chia-Chi Kuo, Yhang Ricardo, Sipauba Carvalho, da Silva, Tetsuya Goto, Tomoyuki Suwa, Tatsuo Morimoto, Yasuyuki Shirai, Takafumi Inada, Yushi Sakai, Masaaki Nagase, Nobukazu Ikeda, Shigetoshi Sugawa

    IEEE Transactions on Electron Devices 69 (6) 2965-2972 2022/06

    DOI: 10.1109/TED.2022.3165520  

    ISSN: 0018-9383

    eISSN: 1557-9646

  16. Two High-Precision Proximity Capacitance CMOS Image Sensors with Large Format and High Resolution. Peer-reviewed

    Yuki Sugama, Yoshiaki Watanabe, Rihito Kuroda, Masahiro Yamamoto, Tetsuya Goto, Toshiro Yasuda, Hiroshi Hamori, Naoya Kuriyama, Shigetoshi Sugawa

    Sensors 22 (7) 2770-2770 2022/04

    DOI: 10.3390/s22072770  

  17. Array of GaN micro-LED chips and monocrystal Si CMOS pixel circuit chips mounted on flexible substrate Peer-reviewed

    Tetsuya Goto, Takeshi Okuno, Yoshikazu Suzuki, Koichi Kajiyama, Yoshihiko Muramoto, Shigetoshi Sugawa

    The 28th International Display Workshops FMC2-4L 2021/12

  18. Sub-aF Detection Accuracy CMOS Proximity Capacitance Image Sensors for Inspection, Authentification and More Peer-reviewed

    Rihito Kuroda, Yuki Sugama, Yoshiaki Watanabe, Tetsuya Goto, Toshiro Yasuda, Shinichi Murakami, Hiroshi Hamori, Shigetoshi Sugawa

    The 28th International Display Workshops INP5-1 2021/12

  19. High Resolution Array Tester for Flat Panel Display Using Proximity Capacitance Image Sensor Peer-reviewed

    Toshiro Yasuda, Kazuhisa Kobayashi, Yuichi Yamamoto, Hiroshi Hamori, Akinobu Teramoto, Rihito Kuroda, Shigetoshi Sugawa

    The 28th International Display Workshops FMC7/AIS9-4 2021/12

  20. CMOSイメージセンサの感度・飽和・撮影速度・分光感度性能の追究 Peer-reviewed

    須川 成利, 黒田 理人

    映像情報メディア学会誌 75 (6) 729-733 2021/11

  21. High-precision CMOS Proximity Capacitance Image Sensors with Large-format 12 μm and High-resolution 2.8 μm Pixels Peer-reviewed

    Yuki Sugama, Yoshiaki Watanabe, Rihito Kuroda, Masahiro Yamamoto, Tetsuya Goto, Toshiro Yasuda, Shinichi Murakami, Hiroshi Hamori, Naoya Kuriyama, Shigetoshi Sugawa

    International Image Sensor Workshop (IISW) 288-291 2021/09

  22. A 1000fps High SNR Voltage-domain Global Shutter CMOS Image Sensor with Two-stage LOFIC for In-Situ Fluid Concentration Distribution Measurements Peer-reviewed

    Tetsu Oikawa, Rihito Kuroda, Keigo Takahashi, Yoshinobu Shiba, Yasuyuki Fujihara, Hiroya Shike, Maasa Murata, Chia-Chi Kuo, Yhang Ricardo, Sipauba Carvalho, da Silva, Tetsuya Goto, Tomoyuki Suwa, Tatsuo Morimoto, Yasuyuki Shirai, Masaaki Nagase, Nobukazu Ikeda, Shigetoshi Sugawa

    International Image Sensor Workshop (IISW) 258-261 2021/09

  23. A high-precision current measurement platform applied for statistical measurement of discharge current transient spectroscopy of traps in SiN dielectrics Peer-reviewed

    Koga Saito, Hayato Suzuki, Hyeonwoo Park, Rihito Kuroda, Akinobu Teramoto, Tomoyuki Suwa, Shigetoshi Sugawa

    Japanese Journal of Applied Physics 60 (8) 086501 2021/07/27

    DOI: 10.35848/1347-4065/ac1215  

  24. High capacitance density highly reliable textured deep trench SiN capacitors toward 3D integration Peer-reviewed

    Koga Saito, Ayano Yoshida, Rihito Kuroda, Hiroshi Shibata, Taku Shibaguchi, Naoya Kuriyama, Shigetoshi Sugawa

    Japanese Journal of Applied Physics 60 (SB) SBBC06-1-SBBC06-7 2021/05

    DOI: 10.35848/1347-4065/abec5f  

    ISSN: 0021-4922

    eISSN: 1347-4065

  25. Analysis of Reaction and Decomposition of Isopropyl Alcohol on Copper and Copper Oxide Surfaces Toward Area-selective Processes Peer-reviewed

    Takezo Mawaki, Akinobu Teramoto, Katsutoshi Ishii, Yoshinobu Shiba, Tomoyuki Suwa, Shuji Azumo, Akira Shimizu, Kota Umezawa, Rihito Kuroda, Yasuyuki Shirai, Shigetoshi Sugawa

    5th Area-Selective Deposition Workshop (ASD 2021) session3-4 1 2021/04

  26. Free-Radical Generation from Bulk Nanobubbles in Aqueous Electrolyte Solutions: ESR Spin-Trap Observation of Microbubble-Treated Water Peer-reviewed

    Masayoshi Takahashi, Yasuyuki Shirai, Shigetoshi Sugawa

    LANGMUIR 37 (16) 5005-5011 2021/04

    DOI: 10.1021/acs.langmuir.1c00469  

    ISSN: 0743-7463

  27. Impact on the Conductance Method of the Asymmetry in the AC Response Induced by Interface Trap Levels Peer-reviewed

    Hsin Jyun Lin, Hiroshi Watanabe, Akinobu Teramoto, Rihito Kuroda, Kota Umezawa, Kiichi Furukawa, Shigetoshi Sugawa

    ECS Journal of Solid State Science and Technology 10 (4) 2021/04

    DOI: 10.1149/2162-8777/abe8b5  

    ISSN: 2162-8769

    eISSN: 2162-8777

  28. A Global Shutter Wide Dynamic Range Soft X-Ray CMOS Image Sensor With Backside-Illuminated Pinned Photodiode, Two-Stage Lateral Overflow Integration Capacitor, and Voltage Domain Memory Bank Peer-reviewed

    Hiroya Shike, Rihito Kuroda, Ryota Kobayashi, Maasa Murata, Yasuyuki Fujihara, Manabu Suzuki, Shoma Harada, Taku Shibaguchi, Naoya Kuriyama, Takaki Hatsui, Jun Miyawaki, Tetsuo Harada, Yuichi Yamasaki, Takeo Watanabe, Yoshihisa Harada, Shigetoshi Sugawa

    IEEE TRANSACTIONS ON ELECTRON DEVICES 68 (4) 2056-2063 2021/04

    DOI: 10.1109/TED.2021.3062576  

    ISSN: 0018-9383

    eISSN: 1557-9646

  29. A Proposal of Analog Correlated Multiple Sampling with High Density Capacitors for Low Noise CMOS Image Sensors Peer-reviewed

    Shunta Kamoshita, Manabu Suzuki, Rihito Kuroda, Shigetoshi Sugawa

    Electronic Imaging 2021, Imaging Sensors and Systems 2021, Society for Imaging Science and Technology,(2021) 2021/01

  30. An over 120 dB Single Exposure Wide Dynamic Range CMOS Image Sensor with Two-Stage Lateral Overflow Integration Capacitor Peer-reviewed

    Yasuyuki Fujihara, Maasa Murata, Shota Nakayama, Rihito Kuroda, Shigetoshi Sugawa

    IEEE Transactions on Electron Devices 68 (1) 152-157 2021/01

    DOI: 10.1109/TED.2020.3038621  

    ISSN: 0018-9383

    eISSN: 1557-9646

  31. Modification of copper and copper oxide surface states due to isopropyl alcohol treatment toward area-selective processes Peer-reviewed

    Takezo Mawaki, Akinobu Teramoto, Katsutoshi Ishii, Yoshinobu Shiba, Rihito Kuroda, Tomoyuki Suwa, Shuji Azumo, Akira Shimizu, Kota Umezawa, Yasuyuki Shirai, Shigetoshi Sugawa

    Journal of Vacuum Science and Technology A: Vacuum, Surfaces and Films 39 (1) 013403-1 2021/01/01

    DOI: 10.1116/6.0000618  

    ISSN: 0734-2101

    eISSN: 1520-8559

  32. High accuracy high spatial resolution and real-time CMOS proximity capacitance image sensor technology and its applications Peer-reviewed

    Rihito Kuroda, Masahiro Yamamoto, Yuki Sugama, Yoshiaki Watanabe, Manabu Suzuki, Tetsuya Goto, Toshiro Yasuda, Shinichi Murakami, Yayoi Yokomichi, Hiroshi Hamori, Shigetoshi Sugawa

    ITE Transactions on Media Technology and Applications 9 (2) 122-127 2021

    DOI: 10.3169/mta.9.122  

    eISSN: 2186-7364

  33. A global shutter wide dynamic range soft X-ray CMOS image sensor with BSI pinned photodiode, two-stage LOFIC and voltage domain memory bank Peer-reviewed

    H. Shike, R. Kuroda, R. Kobayashi, M. Murata, Y. Fujihara, M. Suzuki, T. Shibaguchi, N. Kuriyama, J. Miyawaki, T. Harada, Y. Yamasaki, T. Watanabe, Y. Harada, S. Sugawa

    Technical Digest - International Electron Devices Meeting, IEDM 2020-December 16.4.1-16.4.4 2020/12/12

    DOI: 10.1109/IEDM13553.2020.9372058  

    ISSN: 0163-1918

  34. Over 230 fF/μm2 capacitance density 9.0V breakdown voltage textured deep trench SiN capacitors toward 3D integration Peer-reviewed

    Koga Saito, Ayano Yoshida, Rihito Kuroda, Hiroshi Shibata, Taku Shibaguchi, Naoya Kuriyama, Shigetoshi Sugawa

    2020 International Conference on Solid State Devices and Materials C-04-02 143-144 2020/09

  35. Low-energy high-flux ion bombardment-induced interfacial mixing during Al2O3 plasma-enhanced atomic layer deposition Peer-reviewed

    Masaki Hirayama, Shigetoshi Sugawa

    Journal of Vacuum Science & Technology A 38 052407 2020/08/19

    DOI: 10.1116/6.0000388  

  36. Influence of silicon wafer surface roughness on semiconductor device characteristics Peer-reviewed

    Keiichiro Mori, Shuichi Samata, Noritomo Mitsugi, Akinobu Teramoto, Rihito Kuroda, Tomoyuki Suwa, Keiichi Hashimoto, Shigetoshi Sugawa

    Japanese Journal of Applied Physics 59 2020/07/01

    DOI: 10.35848/1347-4065/ab918c  

    ISSN: 0021-4922

    eISSN: 1347-4065

  37. Plasma resistance of sintered and ion-plated yttrium oxyfluorides with various Y, O, and F composition ratios for use in plasma process chamber Peer-reviewed

    Tetsuya Goto, Yoshinobu Shiba, Akinobu Teramoto, Yukio Kishi, Shigetoshi Sugawa

    Journal of Vacuum Science & Technology A 38 043003-1-043003-9 2020/06/11

    DOI: 10.1116/1.5142515  

  38. Control of ion-flux and ion-energy in direct inductively coupled plasma reactor for interfacial-mixing plasma-enhanced atomic layer deposition Peer-reviewed

    Masaki Hirayama, Akinobu Teramoto, Shigetoshi Sugawa

    Journal of Vacuum Science & Technology A 38 032408 2020/04/06

    DOI: 10.1116/6.0000021  

  39. Study on Influence of O2 Concentration in Wafer Cleaning Ambient for Smoothness of Silicon (110) Surface Appearing at Sidewall of Three-Dimensional Transistors Peer-reviewed

    Tomoyuki Suwa, Akinobu Teramoto, Yasuyuki Shirai, Takenobu Matsuo, Nobutaka Mizutani, Shigetoshi Sugawa

    ECS Transactions 93 (3) 23-29 2020/04

    DOI: 10.1149/09703.0023ecst  

    ISSN: 1938-6737

    eISSN: 1938-5862

  40. Effect of Drain-to-Source Voltage on Random Telegraph Noise Based on Statistical Analysis of MOSFETs with Various Gate Shapes Peer-reviewed

    R. Akimoto, R. Kuroda, A. Teramoto, T. Mawaki, S. Ichino, T. Suwa, S. Sugawa

    IEEE International Reliability Physics Symposium Proceedings 2020-April 2020/04

    DOI: 10.1109/IRPS45951.2020.9128341  

    ISSN: 1541-7026

  41. Resistance Measurement Platform for Statistical Analysis of Emerging Memory Materials Peer-reviewed

    Takeru Maeda, Yuya Omura, Rihito Kuroda, Akinobu Teramoto, Tomoyuki Suwa, Shigetoshi Sugawa

    IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING 33 (2) 232-239 2020/03

    DOI: 10.1109/TSM.2020.2983100  

    ISSN: 0894-6507

    eISSN: 1558-2345

  42. A High Near-Infrared Sensitivity Over 70-dB SNR CMOS Image Sensor With Lateral Overflow Integration Trench Capacitor Peer-reviewed

    Maasa Murata, Rihito Kuroda, Yasuyuki Fujihara, Yusuke Otsuka, Hiroshi Shibata, Taku Shibaguchi, Yutaka Kamata, Noriyuki Miura, Naoya Kuriyama, Shigetoshi Sugawa

    IEEE TRANSACTIONS ON ELECTRON DEVICES 67 (4) 1653-1659 2020/03

    DOI: 10.1109/TED.2020.2975602  

    ISSN: 0018-9383

    eISSN: 1557-9646

  43. High reliability CoFeB/MgO/CoFeB magnetic tunnel junction fabrication using low-damage ion beam etching Peer-reviewed

    Hyeonwoo Park, Akinobu Teramoto, Jun-ichi Tsuchimoto, Keiichi Hashimoto, Tomoyuki Suwa, Marie Hayashi, Rihito Kuroda, Koji Tsunekawa, Shigetoshi Sugawa

    Japanese Journal of Applied Physics 50 (SGGB05) 1-8 2020/02/19

    DOI: 10.35848/1347-4065/ab6cb5  

  44. Over 100 Million Frames per Second 368 Frames Global Shutter Burst CMOS Image Sensor with Pixel-wise Trench Capacitor Memory Array Peer-reviewed

    Manabu Suzuki, Yuki Sugama, Rihito Kuroda, Shigetoshi Sugawa

    Sensors (MDPI) 20 (4) 16-1086 2020/02/17

    DOI: 10.3390/s20041086  

  45. A high-precision 1 Ω-10 MΩ range resistance measurement platform for statistical evaluation of emerging memory materials Peer-reviewed

    Takeru Maeda, Yuya Omura, Rihito Kuroda, Akinobu Teramoto, Tomoyuki Suwa, Shigetoshi Sugawa

    Japanese Journal of Applied Physics 59 (SG) SGGL03-1-SGGL03-9 2020/02

    DOI: 10.35848/1347-4065/ab6d86  

    ISSN: 0021-4922

    eISSN: 1347-4065

  46. 高感度・高精細・リアルタイム近接容量イメージセンサ Peer-reviewed

    黒田理人, 山本将大, 須川成利

    応用物理 89 (6) 328-332 2020

  47. An over 120dB dynamic range linear response single exposure CMOS image sensor with two-stage lateral overflow integration trench capacitors Peer-reviewed

    Yasuyuki Fujihara, Maasa Murata, Shota Nakayama, Rihito Kuroda, Shigetoshi Sugawa

    Electronic Imaging ISS-143 143-1-143-5 2020/01

  48. Preserved color pixel: High-resolution and high-color-fidelity image acquisition using single image sensor with sub-half-micron pixels Peer-reviewed

    Yuichiro Yamashita, Rihito Kuroda, Shigetoshi Sugawa

    ITE Transactions on Media Technology and Applications 8 (3) 161-169 2020

    DOI: 10.3169/mta.8.161  

    eISSN: 2186-7364

  49. Study on CF4/O2 plasma resistance of O-ring elastomer materials Peer-reviewed

    Tetsuya Goto, Shogo Obara, Tomoya Shimizu, Tsuyoshi Inagaki, Yasuyuki Shirai, Shigetoshi Sugawa

    Journal of Vacuum Science & Technology A 38 (1) 013002-013002-7 2020/01

    DOI: 10.1116/1.5124533  

    ISSN: 0734-2101

    eISSN: 1520-8559

  50. An Optical Filter-Less CMOS Image Sensor with Differential Spectral Response Pixels for Simultaneous UV-Selective and Visible Imaging

    Yhang Ricardo Sipauba Carvalho da Silva, Rihito Kuroda, Shigetoshi Sugawa

    Sensors (Basel, Switzerland) 20 (1) 2019/12/18

    DOI: 10.3390/s20010013  

    eISSN: 1424-8220

  51. A 120-ke− Full-Well Capacity 160-µV/e− Conversion Gain 2.8-µm Backside-Illuminated Pixel with a Lateral Overflow Integration Capacitor Peer-reviewed

    Isao Takayanagi, Ken Miyauchi, Shunsuke Okura, Kazuya Mori, Junichi Nakamura, Shigetoshi Sugawa

    Sensors 19 (24) 1-10 2019/12/17

    DOI: 10.3390/s19245572  

  52. Advanced CMOS image sensor technologies for sensing applications in the era of IoT Peer-reviewed

    Rihito Kuroda, Shigetoshi Sugawa

    The Sixth Symposium on Novel Optoelectronic Detection Technology and Application 21-22 2019/12

  53. Dielectric breakdown of MgO in MRAM Peer-reviewed

    A. Teramoto, J. Tsuchimoto, H. Park, M. Hayashi, K. Tsunekawa, T. Suwa, R. Kuroda, S. Sugawa

    2019 IEEE International Electron Devices Meeting No.4 2019/12

  54. Selective composition modification deposition utilizing ion bombardment-induced interfacial mixing during plasma-enhanced atomic layer deposition Peer-reviewed

    Masaki Hirayama, Shigetoshi Sugawa

    Japanese Journal of Applied Physics 58 110902-1-110902-5 2019/10/10

    DOI: 10.7567/1347-4065/ab4754  

  55. Plasma Resistance of Sintered Yttrium Oxyfluoride (YOF) with Various Y, O, and F Composition Ratios Peer-reviewed

    Tetsuya Goto, Yoshinobu Shiba, Akinobu Teramoto, Yukio Kishi, Shigetoshi Sugawa

    AVS 66th International Symposium& Exhibition, Plasma Science and Technology PS+AS+EM+SS+TF-MoA2 2019/10

  56. Selective Laser Annealing Technology for LTPS Thin Film Transistors Fabrications Peer-reviewed

    Tetsuya Goto, Kaname Imokawa, Takahiro Yamada, Kaori Saito, Jun Gotoh, Hiroshi Ikenoue, Shigetoshi Sugawa

    2019 International Conference on Solid State Devices and Materials 311-312 2019/09

  57. High Reliability CoFeB/MgO/CoFeB Magnetic Tunnel Junction Fabrication Using Low-damage Ion Beam Etching Peer-reviewed

    Hyeonwoo Park, Akinobu Teramoto, Jun-ichi Tsuchimoto, Keiichi Hashimoto, Tomoyuki Suwa, Marie Hayashi, Rihito Kuroda, Shigetoshi Sugawa

    2019 International Conference on Solid State Devices and Materials 59 (SG) 401-402 2019/09

    DOI: 10.35848/1347-4065/ab6cb5  

    ISSN: 0021-4922

    eISSN: 1347-4065

  58. An Accuracy Improved Resistance Measurement Platform for Evaluation of Emerging Memory Materials Peer-reviewed

    Takeru Maeda, Yuya Omura, Rihito Kuroda, Akinobu Teramoto, Tomoyuki Suwa, Shigetoshi Sugawa

    2019 International Conference on Solid State Devices and Materials 531-532 2019/09

  59. Evaluation of Silicon Nitride Film Formed Using Magnetic-Mirror Confined Plasma Source Peer-reviewed

    Tetsuya Goto, Seiji Kobayashi, Yuki Yabuta, Shigetoshi Sugawa

    ECS Journal of Solid State Science and Technology 8 (8) N113-N118 2019/07

  60. Systematic characterization of negative-ion-containing microwave-excited plasmas by Langmuir probe measurement Peer-reviewed

    Tetsuya Goto, Shigetoshi Sugawa

    The 15th International Symposium on Sputtering and Plasma Processes 25-27 2019/06

  61. A High Optical Performance 2.8μm BSI LOFIC Pixel with 120ke- FWC and 160μV/e- Peer-reviewed

    Ken Miyauchi, Shunsuke Okura, Kazuya Mori, Isao Takayanagi, Junichi Nakamura, Shigetoshi Sugawa

    International Image Sensor Workshop 246-249 2019/06

  62. A VGA Optical Filter-less CMOS Image Sensor with UV-selective and Visible Light Channels by Differential Spectral Response Pixels Peer-reviewed

    Yhang Ricardo, Sipauba Carvalho da Silva, Rihito Kuroda, Shigetoshi Sugawa

    International Image Sensor Workshop 302-305 2019/06

  63. Over 100 Million Frames per Second 368 Frames Global Shutter Burst CMOS Image Sensor with In-pixel Trench Capacitor Memory Array Peer-reviewed

    Manabu Suzuki, Rihito Kuroda, Shigetoshi Sugawa

    International Image Sensor Workshop 20 (4) 266-269 2019/06

    DOI: 10.3390/s20041086  

    ISSN: 1424-8220

  64. SiNx Deposition at Low Temperature Using UV-irradiated NH3 Peer-reviewed

    Y. Shiba, A. Teramoto, T. Suwa, K. Ishii, A. Shimizu, K. Umezawa, R. Kuroda, S. Sugawa

    235th Meeting of The Electrochemical Society 1228 2019/05

  65. Investigation of Rotating Spokes in DC Magnetron Plasma Using High speed Video Camera Over 1 Million Frames Per Second Peer-reviewed

    Shintaro Yamazaki, Tetsuya Goto, Manabu Suzuki, Rihito Kuroda, Shigetoshi Sugawa

    International Symposium on Sputtering and Plasma Processes FS-1-FS-3 2019/05

  66. SiNx Deposition at Low Temperature Using UV-irradiated NH3 Peer-reviewed

    Y. Shiba, A. Teramoto, T. Suwa, K. Ishii, A. Shimizu, K. Umezawa, R. Kuroda, S. Sugawa

    ECS Transactions 89 (4) 31-36 2019/05

    DOI: 10.1149/08904.0031ecst  

    ISSN: 1938-6737

    eISSN: 1938-5862

  67. Improvement of Fundamental Technology of Three-Dimensional Thermal Compression Bonding with High Accuracy Peer-reviewed

    Kohei Seyama, Shoji Wada, Yuji Eguchi, Doug Day, Shigetoshi Sugawa

    IEEE Transactions on Components, Packaging and Manufacturing Thechnology 9 (5) 836-844 2019/05

  68. Impact of CoFeB surface roughness on reliability of MgO films in CoFeB/MgO/CoFeB magnetic tunnel junction Peer-reviewed

    Hyeonwoo Park, Akinobu Teramoto, Jun-Ichi Tsuchimoto, Marie Hayashi, Keiichi Hashimoto, Shigetoshi Sugawa

    Japanese Journal of Applied Physics 58 (SIIB29) SIIB29-1-SIIB29-6 2019/04

  69. A Highly Robust Silicon Ultraviolet Selective Radiation Sensor Using Differential Spectral Response Method Peer-reviewed

    Yhang Ricardo, Sipauba Carvalho da Silva, Rihito Kuroda, Shigetoshi Sugawa

    Sensors 19 (12) 2755-2755 2019/04

    DOI: 10.3390/s19122755  

  70. Resistance Measurement Platform for Statistical Analysis of Next Generation Memory Materials Peer-reviewed

    Takeru Maeda, Yuya Omura, Akinobu Teramoto, Rihito Kuroda, Tomoyuki Suwa, Shigetoshi Sugawa

    IEEE International Conference on Microelectronic Test Structures 2019-March 70-75 2019/03

    DOI: 10.1109/ICMTS.2019.8730955  

  71. A high-sensitivity compact gas concentration sensor using ultraviolet light absorption with a heating function for a high-precision trimethyl aluminum gas supply system Peer-reviewed

    Hidekazu Ishii, Masaaki Nagase, Nobukazu Ikeda, Yoshinobu Shiba, Yasuyuki Shirai, Rihito Kuroda, Shigetoshi Sugawa

    Japanese Journal of Applied Physics 58 (SB) SBBL04-1-SBBL04-6 2019/03

    DOI: 10.7567/1347-4065/aafe69  

    ISSN: 0021-4922

    eISSN: 1347-4065

  72. A CMOS image sensor with dual pixel reset voltage for high accuracy ultraviolet light absorption spectral imaging Peer-reviewed

    Yusuke Aoyagi, Yasuyuki Fujihara, Maasa Murata, Hiroya Shike, Rihito Kuroda, Shigetoshi Sugawa

    Japanese Journal of Applied Physics 58 (SB) SBBL03-1-SBBL03-6 2019/03

    DOI: 10.7567/1347-4065/aaffc1  

    ISSN: 0021-4922

    eISSN: 1347-4065

  73. スリット型ワイヤボンダトランスデューサの小型高剛性構造 Peer-reviewed

    瀬山 耕平, 角谷 修, 須川 成利

    精密工学会誌 85 (2) 176-181 2019/02

  74. Influence of Silicon Wafer Surface Roughness on Semiconductor Device Characteristics Peer-reviewed

    K. Mori, S. Samata, N. Mitsugi, A. Teramoto, R. Kuroda, T. Suwa, K. Hashimoto, S. Sugawa

    International Workshop on DIELECTRIC THIN FILMS FOR FUTURE ELECTRON DEVICES: SCIENCE AND TECHNOLOGY 50-51 2019

  75. Low-temperature deposition of silicon nitride films using ultraviolet-irradiated ammonia

    Yoshinobu Shiba, Akinobu Teramoto, Tomoyuki Suwa, Katsutoshi Ishii, Akira Shimizu, Kota Umezawa, Rihito Kuroda, Shigetoshi Sugawa

    ECS Journal of Solid State Science and Technology 8 (11) P715-P718 2019

    DOI: 10.1149/2.0131911jss  

    ISSN: 2162-8769

    eISSN: 2162-8777

  76. Power efficienty Figure-of-Merit for image sensors Peer-reviewed

    Yuichiro Yamashita, Shigetoshi Sugawa

    IEEE Transactions on Electtron Devices 66 (1) 512-517 2019/01

    DOI: 10.1109/TED.2018.2882857  

  77. Over 100 million frames per second high speed global shutter CMOS image sensor Peer-reviewed

    R. Kuroda, M. Suzuki, S. Sugawa

    Proceedings of SPIE 11051 110510B-1-110510B-6 2019

    DOI: 10.1117/12.2524492  

    ISSN: 0277-786X

    eISSN: 1996-756X

  78. A CMOS Proximity Capacitance Image Sensor with 16μm Pixel Pitch, 0.1aF Detection Accuracy and 60 Frames Per Second Peer-reviewed

    M. Yamamoto, R. Kuroda, M. Suzuki, T. Goto, H. Hamori, S. Murakami, T. Yasuda, S. Sugawa

    IEEE International Electron Devices Meeting 2018-December 660-663 2018/12

    DOI: 10.1109/IEDM.2018.8614636  

    ISSN: 0163-1918

  79. A 24.3Me- Full Well Capacity CMOS Image Sensor with Lateral Overflow Integration Trench Capacitor for High Precision Near Infrared Absorption Imaging Peer-reviewed

    M. Murata, R. Kuroda, Y. Fujihara, Y. Aoyagi, H. Shibata, T. Shibaguchi, Y. Kamata, N. Miura, N. Kuriyama, S. Sugawa

    IEEE International Electron Devices Meeting 2018-December 225-228 2018/12

    DOI: 10.1109/IEDM.2018.8614590  

    ISSN: 0163-1918

  80. Statistical Analysis of Threshold Voltage Variation Using MOSFETs With Asymmetric Source and Drain Peer-reviewed

    Shinya Ichino, Akinobu Teramoto, Rihito Kuroda, Takezo Mawaki, Tomoyuki Suwa, Shigetoshi Sugawa

    IEEE Electron Device Letters 39 (12) 1836-1839 2018/12

    DOI: 10.1109/LED.2018.2874012  

    ISSN: 0741-3106

  81. High speed and narrow-bandpass liquid crystal filter for real-time multi spectral imaging systems Peer-reviewed

    Kohei Terashima, Kazuhiro Wako, Yasuyuki Fujihara, Yusuke Aoyagi, Maasa Murata, Yosei Shibata, Shigetoshi Sugawa, Takahiro Ishinabe, Rihito Kuroda, Hideo Fujikake

    IEICE Transactions on Electronics E101C (11) 897-900 2018/11

    DOI: 10.1587/transele.E101.C.897  

    ISSN: 0916-8524

    eISSN: 1745-1353

  82. RTS noise characterization and suppression for advanced CMOS image sensors Peer-reviewed

    Rihito Kuroda, Shinya Ichino, Takezo Mawaki, Tomoyuki Suwa, Akinobu Teramoto, Shigetoshi Sugawa

    International Workshop on Image Sensors and Imaging Systems 12-13 2018/11

  83. Silicon Nitride Film Formations Using Magnetic-Mirror Confined New Plasma Source Peer-reviewed

    Tetsuya Goto, S. K. Kobayashi, Shigetoshi Sugawa

    AVS 65th International Symposium PS-ThM 2018/11

  84. Corrosion Resistance to F and Cl plasma of Yttrium Oxyfluoride (YOF) formed by Sintering Peer-reviewed

    Akinobu Teramoto, Yoshinobu Shiba, Tetsuya Goto, Kishi Yukio, Shigetoshi Sugawa

    American Vacuum Society 65th International Symposium & Exhibition 16 2018/11

  85. Over 100Mfps high speed global shutter CMOS image sensor Peer-reviewed

    Rihito Kuroda, Manabu Suzuki, Shigetoshi Sugawa

    32nd International Congress on High-Speed Imaging and Photonics 27 2018/11

  86. Impact of CoFeB Surface Roughness on Reliability of MgO Films in CoFeB/MgO/CoFeB Magnetic Tunnel Junction Peer-reviewed

    Hyeonwoo Park, Akinobu Teramoto, Jun-ichi Tsuchimoto, Marie Hayashi, Keiichi Hashimoto, Shigetoshi Sugawa

    14th International Conference on Atomically Controlled Surfaces, Interfaces and Nanostructures in conjunction with 26th International Colloquium on Scanning Probe Microscopy 20 2018/10

  87. Effects of Process Gases and Gate TiN Electrode during the Post Deposition Anneal to ALD-Al2O3 Dielectric Film Peer-reviewed

    Masaya Saito, Akinobu Teramoto, Tomoyuki Suwa, Kenshi Nagumo, Yoshinobu Shiba, Rihito Kuroda, Shigetoshi Sugawa

    TF+EM+MI-WeM-5 2018/10

  88. High Speed Global Shutter CMOS Image Sensors Toward Over 100Mfps Peer-reviewed

    Rihito Kuroda, Manabu Suzuki, Shigetoshi Sugawa

    Ultrafast imaging and particle tracking instrumentation and methods 2018 2018/10

  89. Corrosion resistance of sintered SiC against fluorinated plasmas Peer-reviewed

    Tetsuya Goto, Michito Miyahara, Masaru Sasaki, Shigetoshi Sugawa

    36 (6) 061404-1-091404-6 2018/10

  90. Impacts of Boron Concentration and Annealing Temperature on Electrical Characteristics of CoFeB/MgO/CoFeB Magnetic Tunnel Junction Peer-reviewed

    Hyeonwoo Park, Akinobu Teramoto, Jun-ichi Tsuchimoto, Marie Hayashi, Keiichi Hashimoto, Rihito Kuroda, Shigetoshi Sugawa

    International Conference on Solid State Devices and Materials 905-906 2018/09

  91. Improved Conductance Method for Interface Trap Density of ZrO2-Si interface Peer-reviewed

    Hsin Jyun Lin, Akinobu Teramoto, Hiroshi Watanabe, Rihito Kurota, Kota Umezawa, Kiichi Furukawa, Shigetoshi Sugawa

    865-866 2018/09

  92. Dual Pixel Reset Voltage CMOS Image Sensor For High SNR Ultraviolet Light Absorption Spectral Imaging Peer-reviewed

    Yusuke Aoyagi, Yasuyuki Fujihara, Maasa Murata, Hiroya Shike, Rihito Kuroda, Shigetoshi Sugawa

    International Conference on Solid State Devices and Materials 595-596 2018/09

  93. A 125Mfps Global Shutter CMOS Image Sensor with Burst Correlated Double Sampling during Photo-Electrons Collection Peer-reviewed

    Manabu Suzuki, Rihito Kuroda, Shigetoshi Sugawa

    International Conference on Solid State Devices and Materials 593-594 2018/09

  94. Impact of atomically flat SiO2/Si interface on improvement of MOS device performance Peer-reviewed

    Akinobu Teramoto, Tomoyuki Suwa, Rihito Kuroda, Shigetoshi Sugawa

    European Advanced Materials Congress 204 2018/08

  95. LTPS Thin-Film Transistors Fabricated Using New Selective Laser Annealing System Peer-reviewed

    Tetsuya Goto, Kaori Saito, Fuminobu Imaizumi, Makoto Hatanaka, Masami Takimoto, Michinobu Mizumura, Jun Gotoh, Hiroshi Ikenoue, Shigetoshi Sugawa

    IEEE Transactions on Electron Devices 6 (8) 3250-3256 2018/08

    DOI: 10.1109/TED.2018.2846412  

    ISSN: 0018-9383

    eISSN: 1557-9646

  96. Statistical Analyses of Random Telegraph Noise in Pixel Source Follower with Various Gate Shapes in CMOS Image Sensor Peer-reviewed

    Shinya Ichino, Takezo Mawaki, Akinobu Teramoto, Rihito Kuroda, Shunichi Wakashima, Tomoyuki Suwa, Shigetoshi Sugawa

    ITE Transactions on Media Technology and Applications 6 (3) 163-170 2018/07

    DOI: 10.3169/mta.6.163  

    ISSN: 2186-7364

    eISSN: 2186-7364

  97. Properties of Poly-Si Thin Films and Their Transistors Fabricated Using Selective Excimer Laser Annealing Peer-reviewed

    Tetsuya Goto, Kaori Saito, Fuminobu Imaizumi, Makoto Hatanaka, Masami Takimoto, Michinobu Mizumura, Jun Gotoh, Hiroshi Ikenoue, Kazuo Udagawa, Junji Kido, Shigetoshi Sugawa

    INTERNATIONAL WORKSHOP ON ACTIVE-MATRIX FLATPANEL DISPLAYS AND DEVICES P-22 2018/07

  98. Intercolor-Filter Crosstalk Model for Image Sensors with Color Filter Array Peer-reviewed

    Yuichiro Yamashita, Shigetoshi Sugawa

    IEEE Transactions on Electron Devices 65 (6) 2531-2536 2018/06/01

    Publisher: Institute of Electrical and Electronics Engineers Inc.

    DOI: 10.1109/TED.2018.2828861  

    ISSN: 0018-9383

  99. A Multi Spectral Imaging System with a 71dB SNR 190-1100 nm CMOS Image Sensor and an Electrically Tunable Multi Bandpass Filter Peer-reviewed

    Yasuyuki Fujihara, Yusuke Aoyagi, Maasa Murata, Satoshi Nasuno, Shunichi Wakashima, Rihito Kuroda, Kohei Terashima, Takahiro Ishinabe, Hideo Fujikake, Kazuhiro Wako, Shigetoshi Sugawa

    ITE Transactions on Media Technology and Applications 6 (3) 187-194 2018/06

    DOI: 10.3169/mta.6.187  

    eISSN: 2186-7364

  100. Impacts of Random Telegraph Noise with Various Time Constants and Number of States in Temporal Noise of CMOS Image Sensors Peer-reviewed

    Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa

    ITE Transactions on Media Technology and Applications 6 (3) 171-179 2018/06

    DOI: 10.3169/mta.6.171  

    eISSN: 2186-7364

  101. Design and Application of Inovative Multi-Table and Bond Head Drive System on Thermal Compression Bonber with UPH over 2000 Peer-reviewed

    Kohei Seyama, Shoji Wada, Yuji Eguchi, Tomponori Nakamura, Doug Day, Shigetoshi Sugawa

    2018 IEEE 68th Electronic Components and Technology Conference 392-400 2018/05

  102. A High Sensitivity and Compact Real Time Gas Concentration Sensor for Semiconductor and Electronic Device Manufacturing Process Peer-reviewed

    Hidekazu Ishii, Masaaki Nagase, Nobukazu Ikeda, Yoshinobu Shiba, Yasuyuki Shirai, Rihito Kuroda, Shigetoshi Sugawa

    233rd ECS Meeting Abstracts MA2018-01 (13) 2416-2416 2018/04

    DOI: 10.1149/08513.1399ecst  

    ISSN: 1938-5862

    eISSN: 1938-6737

  103. Effect of drain current on appearance probability and amplitude of random telegraph noise in low-noise CMOS image sensors Peer-reviewed

    Shinya Ichino, Takezo Mawaki, Akinobu Teramoto, Rihito Kuroda, Hyeonwoo Park, Shunichi Wakashima, Tetsuya Goto, Tomoyuki Suwa, Shigetoshi Sugawa

    Japanese Journal of Applied Physics 57 (4) 04FF08-1-04FF08-1 2018/04/01

    Publisher: Japan Society of Applied Physics

    DOI: 10.7567/JJAP.57.04FF08  

    ISSN: 1347-4065 0021-4922

    eISSN: 1347-4065

  104. Experimental investigation of localized stress-induced leakage current distribution in gate dielectrics using array test circuit Peer-reviewed

    Hyeonwoo Park, Akinobu Teramoto, Rihito Kuroda, Tomoyuki Suwa, Shigetoshi Sugawa

    Japanese Journal of Applied Physics 57 (4) 04FE11-1-04FE11-5 2018/04/01

    Publisher: Japan Society of Applied Physics

    DOI: 10.7567/JJAP.57.04FE11  

    ISSN: 1347-4065 0021-4922

    eISSN: 1347-4065

  105. Reliability of MgO in Magnetic Tunnel Junctions Formed by MgO Sputtering and Mg Oxidation Peer-reviewed

    A. Teramoto, K. Hashimoto, T. Suwa, J. Tsuchimoto, M. Hayashi, H. Park, S. Sugawa

    International Reliability Physics Symposium GD4-1-GD4-6 2018/03

    ISSN: 1541-7026

  106. A Preliminary Chip Evaluation toward Over 50Mfps Burst Global Shutter Stacked CMOS Image Sensor Peer-reviewed

    Manabu Suzuki, Masashi Suzuki, Rihito Kuroda, Shigetoshi Sugawa

    Electronic Imaging IMSE-398-3984 2018/01

    DOI: 10.2352/ISSN.2470-1173.2018.11.IMSE-398  

    eISSN: 2470-1173

  107. High-speed multi-bandpass liquid-crystal filter using dual-frequency liquid crystal for real-time spectral imaging system Peer-reviewed

    Takahiro Ishinabe, Kohei Terashima, Kazuhiro Wako, Yasuyuki Fujihara, Yusuke Aoyagi, Maasa Murata, Satoshi Nasuno, Shunichi Wakashima, Rihito Kuroda, Yosei Shibata, Shigetoshi Sugawa, Hideo Fujikake

    SPIE PHOTONICS WEST 10555-29 2018/01

  108. Narrow-Bandpass Liquid Crystal Filter for Real-Time Multi Spectral Imaging Systems Peer-reviewed

    Kohei Terashima, Takahiro Ishinabe, Kazuo Wako, Yasuyuki Fujihara, Yusuke Aoyagi, Maasa Murata, Satoshi Nasuno, Shunichi Wakashima, Rihito Kuroda, Yosei Shibata, Shigetoshi Sugawa, Hideo Fujikake

    International Display Workshops 1 259-261 2017/12

    ISSN: 1883-2490

  109. New Compact Electron Cyclotron Resonance Plasma Source for Silicon Nitride Film Formation in Minimal Fab System Peer-reviewed

    Tetsuya Goto, Kei-Ichiro Sato, Yuki Yabuta, Shigetoshi Sugawa, Shiro Hara

    IEEE Journal of the Electron Devices Society 6 512-517 2017/11

    Publisher: Institute of Electrical and Electronics Engineers Inc.

    DOI: 10.1109/JEDS.2017.2773519  

    ISSN: 2168-6734

  110. Experimental Investigation of Localized Stress Induced Leakage Current Distribution in Gate Dielectrics Using Array Test Circuit Peer-reviewed

    Hyeonwoo Park, Tomoyuki Suwa, Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa

    Extended Abstracts of the 2017 International Conference on Solid State Devices and Materials 785-786 2017/09

  111. Impact of Drain Current to Appearance Probability and Amplitude of Random Telegraph Noise in Low Noise CMOS Image Sensors Peer-reviewed

    Shinya Ichino, Takezo Mawaki, Akinobu Teramoto, Rihito Kuroda, Hyeonwoo Park, Takeru Maeda, Shunichi Wakashima, Tetsuya Goto, Tomoyuki Suwa, Shigetoshi Sugawa

    International Conference on Solid State Devices and Materials 331-332 2017/09

  112. Analysis of Random Telegraph Noise Behaviors of nMOS and pMOS toward Back Bias Voltage Changing Peer-reviewed

    Takezo Mawaki, Akinobu Teramoto, Rihito Kuroda, Shinya Ichino, Shigetoshi Sugawa

    International Conference on Solid State Devices and Materials 333-334 2017/09

  113. Hole-Trapping Process at Al2O3/GaN Interface Formed by Atomic Layer Deposition Peer-reviewed

    Akinobu Teramoto, Masaya Saito, Tomoyuki Suwa, Tetsuo Narita, Rihito Kuroda, Shigetoshi Sugawa

    IEEE ELECTRON DEVICE LETTERS 38 (9) 1309-1312 2017/09

    DOI: 10.1109/LED.2017.2734914  

    ISSN: 0741-3106

    eISSN: 1558-0563

  114. 224-ke Saturation signal global shutter CMOS image sensor with in-pixel pinned storage and lateral overflow integration capacitor Peer-reviewed

    Yorito Sakano, Shin Sakai, Yoshiaki Tashiro, Yuri Kato, Kentaro Akiyama, Katsumi Honda, Mamoru Sato, Masaki Sakakibara, Tadayuki Taura, Kenji Azami, Tomoyuki Hirano, Yusuke Oike, Yasunori Sogo, Takayuki Ezaki, Tadakuni Narabu, Teruo Hirayama, Shigetoshi Sugawa

    IEEE Symposium on VLSI Circuits, Digest of Technical Papers C250-C251 2017/08/10

    Publisher: Institute of Electrical and Electronics Engineers Inc.

    DOI: 10.23919/VLSIC.2017.8008498  

  115. Improvement in Electrical Characteristics of ALD Al2O3 Film by Microwave Excited Ar/O2 Plasma Treatment Peer-reviewed

    Masaya Saito, Tomoyuki Suwa, Akinobu Teramoto, Yasumasa Koda, Rihito Kuroda, Yoshinobu Shiba, Shigetoshi Sugawa, Junichi Tsuchimoto, Marie Hayashi

    232nd ECS Meeting Abstracts MA2017-01 1249-1249 2017/06

  116. Atomically flat interface for noise reduction in SOI-MOSFETs Peer-reviewed

    P. Gaubert, R. Kuroda, T. Goto, A. Teramoto, S. Sugawa

    International Conference on Noise and Fluctuations 8.4 2017/06

    ISSN: 2575-5587

    eISSN: 2575-5595

  117. 10Mfps 960 Frames Video Capturing Using a UHS Global Shutter CMOS Image Sensor with High Density Analog Memories Peer-reviewed

    Manabu Suzuki, Masashi Suzuki, Rihito Kuroda, Yuki Kumagai, Akira Chiba, Noriyuki Miura, Naoya Kuriyama, Shigetoshi Sugawa

    International Image Sensor Workshop 308-311 2017/06

  118. A Spectral Imaging System with an Over 70dB SNR CMOS Image Sensor and Electrically Tunable 10nm FWHM Multi-Bandpass Filter Peer-reviewed

    Yasuyuki Fujihara, Yusuke Aoyagi, Satoshi Nasuno, Shunichi Wakashima, Rihito Kuroda, Kohei Terashima, Takahiro Ishinabe, Hideo Fujikake, Kazuhiro Wako, Shigetoshi Sugawa

    International Image Sensor Workshop 47-50 2017/06

  119. Impact of Random Telegraph Noise with Various Time Constants and Number of States in CMOS Image Sensors Peer-reviewed

    Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa

    International Image Sensor Workshop 43-46 2017/06

  120. Statistical Analysis of Random Telegraph Noise in Source Follower Transistors with Various Shapes Peer-reviewed

    Shinya Ichino, Takezo Mawaki, Shunichi Wakashima, Akinobu Teramoto, Rihito Kuroda, Phillipe Gaubert, Tetsuya Goto, Tomoyuki Suwa, Shigetoshi Sugawa

    International Image Sensor Workshop 39-42 2017/06

  121. Formation technology of flat surface with epitaxial growth on ion-implanted (100)-oriented Si surface of thin silicon-on-insulator Peer-reviewed

    Kiichi Furukawa, Akinobu Teramoto, Rihito Kuroda, Tomoyuki Suwa, Keiichi Hashimoto, Shigetoshi Sugawa, Daisuke Suzuki, Yuichiro Chiba, Katsutoshi Ishii, Akira Shimizu, Kazuhide Hasebe

    Japanese Journal of Applied Physics 56 105503-1-105503-8 2017/04

  122. Performances of accumulation-mode n- and p-MOSFETs on Si(110) wafers Peer-reviewed

    Philippe Gaubert, Akinobu Teramoto, Shigetoshi Sugawa

    Japanese Journal of Applied Physics 56 (4) 04CD15-1-04CD15-7 2017/04/01

    Publisher: Japan Society of Applied Physics

    DOI: 10.7567/JJAP.56.04CD15  

    ISSN: 1347-4065 0021-4922

  123. Improvement in the Negative Bias Illumination Stress Stability for Silicon-Ion Implanted Amorphous InGaZnO Thin-Film Transistors Peer-reviewed

    Tetsuya Goto, Fuminobu Imaizumi, Shigetoshi Sugawa

    IEEE ELECTRON DEVICE LETTERS 38 (3) 345-348 2017/03

    DOI: 10.1109/LED.2017.2660486  

    ISSN: 0741-3106

    eISSN: 1558-0563

  124. An over 1Mfps global shutter CMOS image sensor with 480 frame storage using vertical analog memory integration Peer-reviewed

    M. Suzuki, M. Suzuki, R. Kuroda, Y. Kumagai, A. Chiba, N. Miura, N. Kuriyama, S. Sugawa

    Technical Digest - International Electron Devices Meeting, IEDM 8.5.1-8.5.4 2017/01/31

    Publisher: Institute of Electrical and Electronics Engineers Inc.

    DOI: 10.1109/IEDM.2016.7838376  

    ISSN: 0163-1918

  125. Chemical stability improvement in IGZO using selective laser annealing system Peer-reviewed

    Tetsuya Goto, Kaori Saito, Fuminobu Imaizumi, Michinobu Mizumura, Akira Suwa, Hiroshi Ikenoue, Shigetoshi Sugawa

    Digest of Technical Papers - SID International Symposium 48 (1) 604-607 2017

    Publisher: Blackwell Publishing Ltd

    DOI: 10.1002/sdtp.11711  

    ISSN: 2168-0159 0097-966X

    eISSN: 2168-0159

  126. High Sensitivity and High Readout Speed Electron Beam Detector using Steep pn Junction Si diode for Low Acceleration Voltage Peer-reviewed

    Yasumasa Koda, Rihito Kuroda, Masaya Hara, Hiroyuki Tsunoda, Shigetoshi Sugawa

    IS&T International Symposium on Electronic Imaging 2017, Image Sensors and Imaging Systems 2017 11 14-17 2017/01

    DOI: 10.2352/ISSN.2470-1173.2017.11.IMSE-178  

    eISSN: 2470-1173

  127. A high sensitivity 20Mfps CMOS image sensor with readout speed of 1Tpixel/sec for visualization of ultra-high speed phenomena Peer-reviewed

    R. Kuroda, S. Sugawa

    SELECTED PAPERS FROM THE 31ST INTERNATIONAL CONGRESS ON HIGH-SPEED IMAGING AND PHOTONICS 10328 1032802-1-1032802-6 2017

    DOI: 10.1117/12.2270787  

    ISSN: 0277-786X

  128. Stable yttrium oxyfluoride used in plasma process chamber Peer-reviewed

    Yoshinobu Shiba, Akinobu Teramoto, Tetsuya Goto, Yukio Kishi, Yasuyuki Shirai, Shigetoshi Sugawa

    Journal of Vacuum Science & Technology A 35 (2) 021405-1-021405-6 2017/01

    DOI: 10.1116/1.4975143  

  129. New Compact ECR Plasma Source for Silicon Nitride Film Formation in Minimal Fab System Peer-reviewed

    Tetsuya Goto, Kei-ichiro Sato, Yuki Yabuta, Shigetoshi Sugawa, Shiro Hara

    2017 IEEE ELECTRON DEVICES TECHNOLOGY AND MANUFACTURING CONFERENCE (EDTM) 5M-4 84-85 2017

    DOI: 10.1109/EDTM.2017.7947528  

  130. Impact of SiO2/Si Interface Micro-roughness on SILC Distribution and Dielectric Breakdown: A Comparative Study with Atomically Flattened Devices Peer-reviewed

    Hyeonwoo Park, Tetsuya Goto, Rihito Kuroda, Akinobu Teramoto, Tomoyuki Suwa, Daiki Kimoto, Shigetoshi Sugawa

    2017 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM (IRPS) DG-7.1-DG-7.5 2017

    DOI: 10.1109/IRPS.2017.7936364  

    ISSN: 1541-7026

  131. Mirror-field confined compact plasma source using permanent magnet for plasma processings Peer-reviewed

    Tetsuya Goto, Kei-ichiro Sato, Yuki Yabuta, Shigetoshi Sugawa

    REVIEW OF SCIENTIFIC INSTRUMENTS 87 (12) 123508-1-123508-5 2016/12

    DOI: 10.1063/1.4972294  

    ISSN: 0034-6748

    eISSN: 1089-7623

  132. Electrical Characteristics of Si-Doped IGZO TFTs Fabricated Using Ion Implantation Peer-reviewed

    Tetsuya Goto, Fuminobu Imaizumi, Shigetoshi Sugawa

    The 23rd International Display Workshop 1 252-255 2016/12

  133. A High Sensitivity 20Mfps CMOS Image Sensor with Readout speed of 1Tpixel/sec for Visualization of Ultra-high Speed Phenomena Invited Peer-reviewed

    Rihito Kuroda, Shigetoshi Sugawa

    Proceedings of the 31st International Congress on High-speed Imaging and Photonics 68-73 2016/11/09

  134. A Dead-time free global shutter stacked CMOS image sensor with in-pixel LOFIC and ADC using pixel-wise connections Peer-reviewed

    Rihito Kuroda, Hidetake Sugo, Shunichi Wakashima, Shigetoshi Sugawa

    3rd International Workshop on Image Sensors and Imaging Systems 13-14 2016/11

  135. Oxidizing Species Dependence of the Interface Reaction during Atomic-Layer-Deposition Process and Post-Deposition-Anneal Peer-reviewed

    Tomoyuki Suwa, Akinobu Teramoto, Yasumasa Koda, Masaya Saito, Hisaya Sugita, Marie Hayashi, Junichi Tsuchimoto, Hidekazu Ishii, Yoshinobu Shiba, Yasuyuki Shirai, Shigetoshi Sugawa

    PACIFIC RIM MEETING ON ELECTROCHEMICAL AND SOLID-STATE SCIENCE (PRiME2016) /230th ECS Meeting MA2016-02 (27) 1836-1836 2016/10

    Publisher: The Electrochemical Society

    DOI: 10.1149/MA2016-02/27/1836  

    eISSN: 2151-2043

    More details Close

    Introduction Al2O3 film is an attractive gate dielectric material for the power devices [1] and metal-insulator-metal capacitors [2]. For Al2O3 deposition, the atomic layer deposition (ALD) is one of the most promising methods [3]. It is considered that the oxidation is one of the important processes in ALD. In the case of using radical oxidation in ALD, it is suggested by XPS evaluation that the oxidizing reaction is occurred at the Al2O3/Si interface during ALD [4]. In the former study, H2O oxidation at stage temperature of 75 °C was shown to be effective because of not oxidizing Si [4]. However the oxidation ability of H2O becomes low at such low temperature. Therefore, it is required to introduce the post-deposition-annealing (PDA) without oxidizing the interface to improve Al2O3 film quality [4, 5]. In this paper, the characteristics of Al2O3/Si interface formed during ALD and PDA are evaluated by MIS capacitor, and its interface structure was also observed by Rutherford backscattering spectroscopy (RBS) for clarifying the mechanism of its degradation. Experiment MIS capacitors were fabricated on Cz-n Si(100) wafers (8-12 ohm cm). At first, the native oxide on Si surface was removed by diluted HF (0.5%), and after that Al2O3films (20 nm) were deposited on Si by ALD [4]. Finally, Al-electrodes were formed by the evaporation. The Al2O3 films were prepared with and without PDA. Here, PDA-I and PDA-II were carried out by O2 annealing at 400 oC [5] and Ar/O2 plasma oxidation [6], respectively. For RBS measurement, Co(10 nm)/SiN(5 nm)/Si(100)-substrate were used. SiN was formed by low-pressure thermal CVD at 725 oC on Si substrate, and after that Co was formed by sputtering. Here, Co was used because it is easy to detect the oxidizing reaction at Al2O3/Co interface. Al2O3films were deposited on Co by 11-cycles-ALD. Here, by 11-cycles-ALD, Al2O3film (3 nm) was deposited on Si. Results and Discussion Figure 1 shows the capacitance-voltage (C-V) curves of MIS capacitors. Four samples for each were measured. In the case of Al2O3 without PDA, flat band voltage (Vfb) is negative value such as around -2.0 V. It is suggested that the positive charges (1.87×1012 cm-2 ~ 2.53×1012 cm-2) exist in Al2O3 film. After PDA-I, Vfb becomes almost same value such as 0.1 V and the negative charges (1.12×1012 cm-2 ~ 1.17×1012 cm-2) exist in Al2O3 film. In addition, Vfb variability drastically decreases. It is considered that O2 annealing at 400 oC is very effective to improve Al2O3 film quality [5]. On the other hand, after PDA-II, Vfb drastically shifts to the positive direction by the negative charges (2.96×1012 cm-2 ~ 8.61×1012 cm-2) and its variability is large. Moreover the slope of C-V curve with PDA-II is smaller than that without PDA because of increasing the interface trap. As a result, it is considered that the interfacial quality became poor by Ar/O2plasma oxidation in this experiment. Figure 2 shows the energy spectra of 450 keV He+ ions backscattered from Al2O3/Co/SiN/Si. Al2O3films without PDA, with PDA-II 20sec, 60sec and 300sec were measured. Several observed peaks indicate the energy of He ions scattered by Co, Al, Si, O and N atoms, respectively. Figure 3 (a)-(c) show the narrow spectra related to O, Al and Co, respectively. Especially, in the case of PDA-II 300 sec, each spectrum in fig. 3(a)-(c) spreads more widely. It is suggested that Al and Co interdiffuse at the interfacial layer during PDA-II 300sec. As a result, it is suggested that the intermediate oxide states consisting of Al-Co-O are formed. Conclusion We investigated the influence of PDA to the Al2O3 interface. O2 annealing at 400 oC is very effective for improving the Al2O3 film quality. However, by Ar/O2 plasma oxidation, it is considered that Al and Co interdiffuse at the interface. It is expected that a similar phenomenon is also caused with Al2O3/Si interface, then it is considered that the intermediate oxide states consisting of Al-Si-O cause the electrical characteristic degradation such as increase of fixed charge, interface trap and variability. Acknowledgments This work was carried out at fluctuation free facility of New Industry Creation Hatchery Center, Tohoku University. Reference [1] P.D. Ye et al., Appl. Phys. Lett., 86(2005) 063501 [2] A. Farcy et al., Microelectronic Eng., 85(2008) 1940-1946 [3] R. L. Puurunen, J. Appl. Phys. 97(2005) 121301 [4] H. Sugita, et al., ECS Trans, 66(2015) 305-314 [5] Y. Koda, et al., ECS Trans., to be published at 229thECS meeting [6] K. Sekine, et al., IEEE TRANS. ON ELECTRON DEVICE, 48 (8) (2001) 1550 <p></p> Figure 1 <p></p>

  136. Formation Technology of Flat Surface after Selective Epitaxial Growth on Ion-Implanted (100) Oriented Thin SOI Wafers Peer-reviewed

    Kiichi Furukawa, Akinobu Teramoto, Rihito Kuroda, Tomoyuki Suwa, Keiichi Hashimoto, Shigetoshi Sugawa, Daisuke Suzuki, Yoichiro Chiba, Katsutoshi Ishii, Akira Shimizu, Kazuhide Hasebe

    Extended Abstracts of the 2016 International Conference on Solid State Devices and Materials 116 (270) 649-650 2016/09/28

    Publisher:

    ISSN: 0913-5685

  137. Low Frequency Noise of Accumulation-Mode n- and p-MOSFETs fabricated on (110) Crystallographic Silicon-Oriented Wafers Peer-reviewed

    Philippe Gaubert, Akinobu Teramoto, Shigetoshi Sugawa

    Extended Abstracts of the 2016 International Conference on Solid State Devices and Materials 717-718 2016/09/28

  138. On-Chip Optical Filter Technology with Low Extinction Coefficient SiN for Ultraviolet-Visible-Near Infrared Light Waveband Spectral Imaging Peer-reviewed

    Yasumasa Koda, Yhang Ricardo, Sipauba Carvalho, da Silva, Loïc Julien, Daisuke Sawada, Tetsuya Goto, Rihito Kuroda, Shigetoshi Sugawa

    2016 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 418-422 2016/07/06

  139. Introduction of a High Selectivity Etching Process with Advanced SiNx Etch Gas in the Fabrication of FinFET Structures Peer-reviewed

    Takashi Kojiri, Tomoyuki Suwa, Keiichi Hashimoto, Akinobu Teramoto, Rihito Kuroda, Shigetoshi Sugawa

    229th Meeting of The Electrochemical Society 1166 2016/05

    DOI: 10.1149/MA2016-01/23/1166  

  140. Low Leakage Current Al2O3 Metal-Insulator-Metal Capacitors Formed By Atomic Layer Deposition at Optimized Process Temperature and O2 Post Deposition Annealing Peer-reviewed

    Yasumasa Koda, Hisaya Sugita, Tomoyuki Suwa, Rihito Kuroda, Tetsuya Goto, Akinobu Teramoto, Shigetoshi Sugawa

    229th Meeting of The Electrochemical Society MA2016-01 (23) 1174-1174 2016/05

    Publisher: The Electrochemical Society

    DOI: 10.1149/MA2016-01/23/1174  

    eISSN: 2151-2043

    More details Close

    Introduction In integrated circuits, Metal-Insulator-Metal (MIM) capacitor is the key passive element for filtering, decoupling and oscillating, and so on. Followings are especially important requirements; high capacitance density, low leakage current density [1]. To meet these requirements, various high-k materialshave been investigated for dielectric materials of MIM capacitors [2,3].  Atomic layer deposition (ALD) is one of the most promising methods. It is considered that the temperature of ALD is one of important parameter in relation to the supply of Trimethylaluminum (TMA) for Al2O3. A recent study reported that an excessive high temperature may cause decomposition of TMA and the substrate material must not be oxidized during the oxidation process. H2O oxidation at stage temperature of 75 °C is shown to be effective because the oxidation ability of H2O becomes low, so it is effective to form the high quality interface of Al2O3/metal. Then, it is expected to be effective to improve Al2O3 film quality by post deposition annealing (PDA). In this paper, using the developed ALD process equipment, Al2O3 film was deposited by H2O oxidation ALD at stage temperature of 75C°. The impact of the annealing on the electrical characteristics of MIM and metal-insulator-silicon (MIS) capacitors was investigated. Experiment Figures 1 and 2 show the process flow and the cross sectional schematic image of the fabricated MIM capacitors, respectively. After n-type (100) Si wafers Cleaning, SiO2 films were formed by thermal wet oxidation at 1000°C. Next, bottom TiN electrodes were formed by DC sputtering at 1kW(2.5W/cm2) with Ar/N2 flow at 300°C. To form active region, SiO2 films were deposited by atmospheric pressure chemical vapor deposition with SiH4/O2/N2 mixed gases at 400°C and the wet etching was carried out. Al2O3 films were deposited by ALD. TMA was supplied at 50°C to the chamber with the high temperature flow control system (HT-FCS) [5]. After the four times cyclic purge, H2O gas was supplied to the chamber and then TMA was oxidized to Al2O3 film. At this time, the pressure of the chamber was 133Pa and the wafer stage was 75°C. At this process condition, Al2O3 growth rate per cycle was 0.35nm/cycle nearly equaled to the thickness of mono-layer Al2O3 and high uniformity of Al2O3 film thickness in the Si-wafer was obtained [4]. In this work, 40 cycles were carried out. Next, the three types of PDA conditions were applied to investigate the effect of PDA to improve Al2O3 films: (1) without annealing; (2) O2 annealing at 400°C for 30min; (3) N2 annealing at 400°C for 30min. Finally, the top Al electrode was formed by high vacuum vaporization. As reference samples, MIS with three types of Al2O3 dielectric were prepared on n-type (100) Si wafers. Result and Discussion Figure 3 shows the leakage current density of MIM capacitors with the area of 1.0×10-4cm2 as a function of applied voltage. The thickness of Al2O3 films for without annealing, O2 annealing and N annealing were 13.7, 11.0 and 11.2nm, respectively. Here these films thickness were measured with the reference Al2O3 samples deposited on Si wafers by spectroscopic ellipsometry. Regarding the measurement conditions of leakage current, the delay time at each applied bias was set to 40sec to eliminate the transition and displacement current to accurately measure the quality of Al2O3 films. Figure 4 shows the capacitance density of MIM capacitors with the area of 1.0×10-4cm2 as a function of applied voltage at a frequency of 100kHz. As a result, the leakage current density and  the capacitance density at 1V for without annealing, O2 annealing and N2 annealing were 7.2×10-10 and ,1.2×10-10 and 1.5×10-10 A/cm-2 and 5.7, 6.8and 6.3 fF/um-2, respectively. Figure 6 shows the comparison result on capacitance density and leakage current between this work and reported MIM capacitors with various materials. From this result, the leakage current density of both of MIM and MIS using Al2O3 without the annealing were about the same level as previous works. The reduction of the leakage current and increase of capacitance density were confirmed especially for the O2 annealing and the superior characteristics in comparison to the previous works were successfully obtained. Furthermore, by measuring C-V characteristic of MIS as reference samples, the absolute value of the fixed charge density of Al2O3 film was reduced by 2.04×1012cm2 by both O2 and N2 annealing processes. Therefore, O2 annealing at 400°C is considered to be an effective PDA for the formed Al2O3 film. In conclusion, by O2 annealing at 400°C for Al2O3 film deposited by H2O oxidation ALD at relatively low temperature of 75°C is effective process sequence to achieve low leakage current MIM capacitors. Reference [1]Chit Hwei Ng, et al.,  IEEE Trans, vol.52(2005) 1399-1408 [2]S. Becu, et al., Microelectronic Eng., vol.83(2006) 2422 [3]Sang-UK Park, et al., Microelectronic Eng., vol.88(2011) 3389-3392 [4]Hisaya Sugita, et al., ECS Trans, vol.66 (2015) 305-314 [5]Michio Yamaji, et al., ECS Trans, vol.45 (2012) 429 <p></p> Figure 1 <p></p>

  141. Advanced CMOS Image Sensors Development for High Sensitivity, High Speed and Wide Spectral Response Invited

    Rihito Kuroda, Shigetoshi Sugawa

    International Workshop on Radiation Resistant Sensors and Related Technologies for Nuclear Power Plant Decommissioning 44-44 2016/04/20

  142. Impact of doping concentration on 1/f noise performances of accumulation-mode Si(100) n-MOSFETs Peer-reviewed

    Philippe Gaubert, Akinobu Teramoto, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 55 (4) 04ED08-1-04ED08-6 2016/04

    DOI: 10.7567/JJAP.55.04ED08  

    ISSN: 0021-4922

    eISSN: 1347-4065

  143. Analysis and reduction of leakage current of 2 kV monolithic isolator with wide trench spiral isolation structure Peer-reviewed

    Yusuke Takeuchi, Rihito Kuroda, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 55 (4) 04EF07-1-04EF07-5 2016/04

    DOI: 10.7567/JJAP.55.04EF07  

    ISSN: 0021-4922

    eISSN: 1347-4065

  144. Proposal of tunneling- and diffusion-current hybrid MOSFET: A device simulation study Peer-reviewed

    Kiichi Furukawa, Akinobu Teramoto, Rihito Kuroda, Tomoyuki Suwa, Keiichi Hashimoto, Takashi Kojiri, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 55 (4) 04ED12-1-04ED12-7 2016/04

    DOI: 10.7567/JJAP.55.04ED12  

    ISSN: 0021-4922

    eISSN: 1347-4065

  145. Low Interface Trap Density and High Breakdown Electric Field SiN Films on GaN Formed by Plasma Pretreatment Using Microwave-Excited Plasma-Enhanced Chemical Vapor Deposition Peer-reviewed

    Tadashi Watanabe, Akinobu Teramoto, Yukihisa Nakao, Shigetoshi Sugawa, Tadahiro Ohmi

    IEEE TRANSACTIONS ON ELECTRON DEVICES 63 (4) 1795-1801 2016/04

    DOI: 10.1109/TED.2016.2525766  

    ISSN: 0018-9383

    eISSN: 1557-9646

  146. A CMOS Image Sensor with 240 μV/e– Conversion Gain, 200 ke– Full Well Capacity, 190-1000 nm Spectral Response and High Robustness to UV light Peer-reviewed

    Satoshi Nasuno, Shunichi Wakashima, Fumiaki Kusuhara, Rihito Kuroda, Shigetoshi Sugawa

    ITE Transactions on Media Technology and Applications 4 (2) 116-122 2016/04/01

    DOI: 10.3169/mta.4.116  

    eISSN: 2186-7364

  147. Wide dynamic range LOFIC CMOS image sensors: principle, achievements and extendibility Invited Peer-reviewed

    Rihito Kuroda, Shigetoshi Sugawa

    International Forum on Detectors for Photon Science 9 2016/02/29

  148. Random Telegraph Noise Measurement and Analysis based on Arrayed Test Circuit toward High S/N CMOS Image Sensors Invited Peer-reviewed

    Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa

    2016 INTERNATIONAL CONFERENCE ON MICROELECTRONIC TEST STRUCTURES (ICMTS) 46-51 2016

    DOI: 10.1109/ICMTS.2016.7476172  

    ISSN: 1071-9032

  149. Analysis and reduction technologies of floating diffusion capacitance in CMOS image sensor for photon-countable sensitivity Peer-reviewed

    Fumiaki Kusuhara, Shunichi Wakashima, Satoshi Nasuno, Rihito Kuroda, Shigetoshi Sugawa

    ITE Transactions on Media Technology and Applications 4 (2) 91-98 2016

    Publisher: Institute of Image Information and Television Engineers

    DOI: 10.3169/mta.4.91  

    ISSN: 2186-7364

    eISSN: 2186-7364

  150. Floating capacitor load readout operation for small, low power consumption and high S/N Ratio CMOS image sensors Peer-reviewed

    Shunichi Wakashima, Fumiaki Kusuhara, Rihito Kuroda, Shigetoshi Sugawa

    ITE Transactions on Media Technology and Applications 4 (2) 99-108 2016

    Publisher: Institute of Image Information and Television Engineers

    DOI: 10.3169/mta.4.99  

    ISSN: 2186-7364

    eISSN: 2186-7364

  151. A high quantum efficiency high readout speed 1024 pixel ultraviolet-visible-near infrared waveband photodiode array Peer-reviewed

    Rihito Kuroda, Takahiro Akutsu, Yasumasa Koda, Kenji Takubo, Hideki Tominaga, Ryuta Hirose, Tomohiro Karasawa, Shigetoshi Sugawa

    ITE Transactions on Media Technology and Applications 4 (2) 109-115 2016

    Publisher: Institute of Image Information and Television Engineers

    DOI: 10.3169/mta.4.109  

    ISSN: 2186-7364

    eISSN: 2186-7364

  152. A 20Mfps global shutter CMOS image sensor with improved light sensitivity and power consumption performances Peer-reviewed

    Rihito Kuroda, Yasuhisa Tochigi, Ken Miyauchi, Tohru Takeda, Hidetake Sugo, Fan Shao, Shigetoshi Sugawa

    ITE Transactions on Media Technology and Applications 4 (2) 149-154 2016

    Publisher: Institute of Image Information and Television Engineers

    DOI: 10.3169/mta.4.149  

    ISSN: 2186-7364

    eISSN: 2186-7364

  153. Introduction of a High Selectivity Etching Process with Advanced SiNx Etch Gas in the Fabrication of FinFET Structures Peer-reviewed

    T. Kojiri, T. Suwa, K. Hashimoto, A. Teramoto, R. Kuroda, S. Sugawa

    SILICON COMPATIBLE MATERIALS, PROCESSES, AND TECHNOLOGIES FOR ADVANCED INTEGRATED CIRCUITS AND EMERGING APPLICATIONS 6 72 (4) 23-30 2016

    DOI: 10.1149/07204.0023ecst  

    ISSN: 1938-5862

  154. Low Leakage Current Al2O3 Metal-Insulator-Metal Capacitors Formed by Atomic Layer Deposition at Optimized Process Temperature and O-2 Post Deposition Annealing Peer-reviewed

    Y. Koda, H. Sugita, T. Suwa, R. Kuroda, T. Goto, A. Teramoto, S. Sugawa

    SILICON COMPATIBLE MATERIALS, PROCESSES, AND TECHNOLOGIES FOR ADVANCED INTEGRATED CIRCUITS AND EMERGING APPLICATIONS 6 72 (4) 91-100 2016

    DOI: 10.1149/07204.0091ecst  

    ISSN: 1938-5862

  155. A Dead-time Free Global Shutter CMOS Image Sensor with in-pixel LOFIC and ADC using Pixel-wise Connections Peer-reviewed

    Hidetake Sugo, Shunichi Wakashima, Rihito Kuroda, Yuichiro Yamashita, Hirofumi Sumi, Tzu-Jui Wang, Po-Sheng Chou, Ming-Chieh Hsu, Shigetoshi Sugawa

    2016 IEEE SYMPOSIUM ON VLSI CIRCUITS (VLSI-CIRCUITS) 224-225 2016

    DOI: 10.1109/VLSIC.2016.7573544  

  156. Evaluating Work-Function and Composition of ErSix on Various Surface Orientation of Silicon Peer-reviewed

    Akinobu Teramoto, Hiroaki Tanaka, Tomoyuki Suwa, Tetsuya Goto, Rihito Kuroda, Tsukasa Motoya, Kazumasa Kawase, Shigetoshi Sugawa

    ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY 5 (10) P608-P613 2016

    DOI: 10.1149/2.0221610jss  

    ISSN: 2162-8769

    eISSN: 2162-8777

  157. Oxidizing species dependence of the interface reaction during atomic-layer-deposition process and post-deposition-anneal Peer-reviewed

    T. Suwa, A. Teramoto, Y. Koda, M. Saito, H. Sugita, M. Hayashi, J. Tsuchimoto, H. Ishii, Y. Shiba, Y. Shirai, S. Sugawa

    SEMICONDUCTORS, DIELECTRICS, AND METALS FOR NANOELECTRONICS 14 75 (5) 207-214 2016

    DOI: 10.1149/07505.0207ecst  

    ISSN: 1938-5862

  158. A High Sensitivity Compact Gas Concentration Sensor using UV Light and Charge Amplifier Circuit Peer-reviewed

    Hidekazu Ishii, Masaaki Nagase, Nobukazu Ikeda, Yoshinobu Shiba, Yasuyuki Shirai, Rihito Kuroda, Shigetoshi Sugawa

    2016 IEEE SENSORS 877-879 2016

    DOI: 10.1109/ICSENS.2016.7808698  

    ISSN: 1930-0395

  159. 190-1100 nm Waveband Multispectral Imaging System using High Light Resistance Wide Dynamic Range CMOS Image Sensor Peer-reviewed

    Yasuvuki Fujihara, Satoshi Nasuno, Shunichi Wakashima, Yusuke Aoyagi, Rihito Kuroda, Shigetoshi Sugawa

    2016 IEEE SENSORS 283-285 2016

    DOI: 10.1109/ICSENS.2016.7808492  

    ISSN: 1930-0395

  160. A Dead-time Free Global Shutter CMOS Image Sensor with in-pixel LOFIC and ADC using Pixel-wise Connections Invited Peer-reviewed

    Hidetake Sugo, Shunichi Wakashima, Rihito Kuroda, Yuichiro Yamashita, Hirofumi Sumi, Tzu-Jui Wang, Po-Sheng Chou, Ming-Chieh Hsu, Shigetoshi Sugawa

    2016 IEEE SYMPOSIUM ON VLSI CIRCUITS (VLSI-CIRCUITS) 13-14 2016

  161. 190-1100 nm Waveband Multispectral Imaging System using High Light Resistance Wide Dynamic Range CMOS Image Sensor Peer-reviewed

    Yasuvuki Fujihara, Satoshi Nasuno, Shunichi Wakashima, Yusuke Aoyagi, Rihito Kuroda, Shigetoshi Sugawa

    2016 IEEE SENSORS 37-38 2016

    ISSN: 1930-0395

  162. An Over 1Mfps Global Shutter CMOS Image Sensor with 480 Frame Storage Using Vertical Analog Memory Integration Peer-reviewed

    M. Suzuki, M. Suzuki, R. Kuroda, Y. Kumagai, A. Chiba, N. Miura, N. Kuriyama, S. Sugawa

    2016 IEEE INTERNATIONAL ELECTRON DEVICES MEETING (IEDM) 212-215 2016

    DOI: 10.1109/IEDM.2016.7838376  

    ISSN: 2380-9248

  163. Observation of sputtering of yttrium from Y2O3 ceramics by low-energy Ar, Kr, and Xe ion bombardment in microwave-excited plasma Peer-reviewed

    Tetsuya Goto, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 54 (12) 128003-1-128003-3 2015/12

    DOI: 10.7567/JJAP.54.128003  

    ISSN: 0021-4922

    eISSN: 1347-4065

  164. Introduction of Atomically Flattening of Si Surface to Large-Scale Integration Process Employing Shallow Trench Isolation Peer-reviewed

    Tetsuya Goto, Rihito Kuroda, Naoya Akagawa, Tomoyuki Suwa, Akinobu Teramoto, Xiang Li, Toshiki Obara, Daiki Kimoto, Shigetoshi Sugawa, Yutaka Kamata, Yuki Kumagai, Katsuhiko Shibusawa

    ECS Journal of Solid State Science and Technology 5 (2) 67-72 2015/11

    DOI: 10.1149/2.0221602jss  

    ISSN: 2162-8769

    eISSN: 2162-8777

  165. Effect of Oxygen Impurity on Nitrogen Radicals in Post-Discharge Flows Peer-reviewed

    Yoshinobu Shiba, Akinobu Teramoto, Tomoyuki Suwa, Kensuke Watanabe, Shinichi Nishimura, Yasuyuki Shirai, Shigetoshi Sugawa

    228th Meeting of The Electrochemical Society MA2015-02 (47) 1848-1848 2015/10

    Publisher: The Electrochemical Society

    DOI: 10.1149/MA2015-02/47/1848  

    eISSN: 2151-2043

    More details Close

    Introduction Silicon nitride films (SiNx) formed at low temperature are strongly required in very shrunk LSI process. The plasma silicon nitride formation technology with low damage at low temperature has been reported [1]. We have reported that the amount of active nitridation species in the process is important to form to high quality SiNx, especially on the side wall [2]. When the oxygen impurity is remained in the process gases, the oxygen is excited during the plasma processing. Then the excited oxygen is degraded the SiNx film quality [3-6]. However, it has not been reported the effect of inactive oxygen molecules in the nitridation process. In this paper, we report the effect of the impurity oxygen in post charge flows on the process of nitride formation. Experiment Fig.1 shows the schematic diagram of the experimental setup used for the reaction products measurement in the gases. It has a mixing point placed at downstream of a Nitrogen Radical Generator [7]. The produced gases reacted from the nitrogen radicals and O2 gas are measured by Fourier Transform Infrared spectroscopy (FTIR). The impurity concentration of oxygen in N2 gas was less than 1 ppb, this indicates the oxygen impurity of supply gas did not affect the experimental results. Nitrogen radicals concentration was generated by the Nitrogen Radical Generator several ten ppm in the N2 gas [7]. The pressure in Nitrogen Radical Generator was varied from 30 to 120 kPa in the case of the N2 flow rate at 5, 7, and 10slm. The O2flow rate were varied from 8 to 190 sccm. Results and discussions Fig. 2 show the absorbance of (a)O3, (b)N2O produced from the nitrogen radicals and O2gas. Horizontal axis of Fig. 2(a) denotes the product of the oxygen partial pressure and the oxygen molecules concentration. Here, this is equivalent to the collision probability of the oxygen molecules and the oxygen molecules. The produced O3concentration increases with the increase of the collision probability. Then, horizontal axis of Fig. 2(b) denotes the product of the oxygen partial pressure and the elements' density exception of the oxygen molecules, that is, they’re nitrogen molecules and nitrogen radicals. Here, this is equivalent to the collision probability of the oxygen molecules and the nitrogen molecules including the nitrogen radicals. The produced N2O concentration decreases with the increase of the collision probability. The oxygen molecules become oxygen radicals by the colliding with the nitrogen radicals because only O3 and N2O are generated. It’s considered that the numbers of nitrogen radicals decrease at the collision with oxygen molecules. Then, Fig. 2(a) and (b) show the oxygen radicals are more easier to react to the oxygen molecules or the oxygen radicals than the nitrogen molecules or the remained nitrogen radicals. The nitrogen radicals easily transfer the energy to the oxygen, and the nitrogen become inactive. These results indicates that the oxygen impurity has strong impact on the radical nitridation process. The oxygen components, even O2molecules, must be reduced in the process using nitrogen radicals. Acknowledgement This research has been carried out at fluctuation free facility of New Industry Creation Hatchery Center, Tohoku University. References [1] Y. Nakao, et al., International Conference on Solid State Devices and Materials, Nagoya, 2011, pp905-906 [2] Y. Nakao, et al., ECS Trans. 45 (3) 421-428 (2012) [3] X.  Guo, et al., IEEE Electron Device Lett., Vol.19, No.6, pp.207 (1999). [4] D.M.Brown, et al., J. Electrochem. Soc., Vol.115, No.3, pp.311 (1968).   [5] L.He, et al., Jpn. J. Appl. Phys., vol.35, pt.1, No.2B, pp.1503 (1996).   [6] V. A. Gritsenko, et al., Thin Solid Films, Vol.51, pp.353 (1978). [7]Gaku Oinuma,et al., J. Phys. D: Appl. Phys. 41 (2008) 155204 <p></p> Figure 1 <p></p>

  166. Analysis and reduction of leakage current of 2kV monolithic isolator with wide trench spiral isolation structure Peer-reviewed

    Yusuke Takeuchi, Rihito Kuroda, Shigetoshi Sugawa

    Extended Abstracts of the 2015 International Conference on Solid State Devices and Materials 804-805 2015/09/30

  167. Proposal of Tunneling and Diffusion Current Hybrid MOSFET Peer-reviewed

    Kiichi Furukawa, Rihito Kuroda, Tomoyuki Suwa, Keiichi Hashimoto, Akinobu Teramoto, Shigetoshi Sugawa

    Extended Abstracts of the 2015 International Conference on Solid State Devices and Materials 86-87 2015/09/29

  168. 1/f Noise Performances and Noise Sources of Accumulation Mode Si(100) n-MOSFETs Peer-reviewed

    Philippe Gaubert, Akinobu Teramoto, Shigetoshi Sugawa

    Extended Abstracts of the 2015 International Conference on Solid State Devices and Materials 96-97 2015/09/29

  169. Measurement and Analysis of Seismic Response in Semiconductor Manufacturing Equipment Peer-reviewed

    Kaori Komoda, Masashi Sakuma, Masakazu Yata, Yoshio Yamazaki, Fuminobu Imaizumi, Rihito Kuroda, Shigetoshi Sugawa

    IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING 28 (3) 289-296 2015/08

    DOI: 10.1109/TSM.2015.2427807  

    ISSN: 0894-6507

    eISSN: 1558-2345

  170. A 80% QE High Readout Speed 1024 Pixel Linear Photodiode Array for UV-VIS-NIR Spectroscopy Peer-reviewed

    Rihito Kuroda, Takahiro Akutsu, Yasumasa Koda, Kenji Takubo, Hideki Tominaga, Ryuuta Hirose, Tomohiro Karasawa, Shigetoshi Sugawa

    Proceedings of the 2015 International Image Sensor Workshop 78-81 2015/06

  171. Analysis and Reduction of Floating Diffusion Capacitance Components of CMOS Image Sensor for Photon-Countable Sensitivity Peer-reviewed

    Fumiaki Kusuhara, Shunichi Wakashima, Satoshi Nasuno, Rihito Kuroda, Shigetoshi Sugawa

    Proceedings of the 2015 International Image Sensor Workshop 120-123 2015/06

  172. A 20Mfps Global Shutter CMOS Image Sensor with Improved Sensitivity and Power Consumption Peer-reviewed

    Shigetoshi Sugawa, Rihito Kuroda, Tohru Takeda, Fan Shao, Ken Miyauchi, Yasuhisa Tochigi

    Proceedings of the 2015 International Image Sensor Workshop 166-169 2015/06

  173. A CMOS Image Sensor with 240μV/e- Conversion Gain, 200ke- Full Well Capacity and 190-1000nm Spectral Response Peer-reviewed

    Satoshi Nasuno, Shunichi Wakashima, Fumiaki Kusuhara, Rihito Kuroda, Shigetoshi Sugawa

    Proceedings of the 2015 International Image Sensor Workshop 39 (35) 312-315 2015/06

    Publisher: The Institute of Image Information and Television Engineers

    ISSN: 1342-6893

    More details Close

    In this paper, a CMOS image sensor introducing wide spectral sensitive PD technology, small floating diffusion (FD) capacitance technology, lateral overflow integration capacitor (LOFIC) technology and buried channel technology is designed, fabricated and evaluated. A 5.6μm pixel pitch CMOS image sensor was fabricated using a 0.18μm 1P5M CMOS process technology. It achieved a high conversion gain (CG) of 240μV/e^-, a high full well capacity of 200ke^-, a wide spectral response for 190-1000 nm and a high robustness to deuterium lamp used as a UV light.

  174. Low Temperature Atomically Flattening of Si Surface of Shallow Trench Isolation Pattern Peer-reviewed

    Tetsuya Goto, Rihito Kuroda, Tomoyuki Suwa, Akinobu Teramoto, Naoya Akagawa, Daiki Kimoto, Shigetoshi Sugawa, Tadahiro Ohmi, Yutaka Kamata, Yuki Kumagai, Katsuhiko Shibusawa

    227th Meeting of The Electrochemical Society 1354 2015/05

  175. Effect of Hydrogen on Silicon Nitrides Formation by Microwave Excited Plasma Enhanced Chemical Vapor Deposition Peer-reviewed

    Akinobu Teramoto, Yukihisa Nakao, Tomoyuki Suwa, Keiichi Hashimoto, Tsukasa Motoya, Masaki Hirayama, Shigetoshi Sugawa, Tadahiro Ohmi

    227th Meeting of The Electrochemical Society 1378 2015/05

  176. Surface Metal Cleaning of GaN Surface Based on Redox Potential of Cleaning Solution Peer-reviewed

    Kenji Nagao, Kenichi Nakamura, Akinobu Teramoto, Yasuyuki Shirai, Fuminobu Imaizumi, Tomoyuki Suwa, Shigetoshi Sugawa, Tadahiro Ohmi

    227th Meeting of The Electrochemical Society 1404 2015/05

  177. Low Work Function LaB6 Thin Film Prepared by nitrogen Doped LaB6 Target Supptering Peer-reviewed

    H. Ishii, K. Takahashi, T. Goto, S. Sugawa, T. Ohmi

    ECS Transactions 66 (41) 23-28 2015/05

    DOI: 10.1149/06641.0023ecst  

  178. Crystallinity Improvement of Ferroelectric BiFeO3 Thin Film by Oxygen Radical Treatment Peer-reviewed

    Fuminobu Imaizumi, Tetsuya Goto, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    227th Meeting of The Electrochemical Society 1351 2015/05

  179. Ultra-Low Temperature Flattening Technique of Silicon Surface Using Xe/H2 Plasma Peer-reviewed

    Tomoyuki Suwa, Akinobu Teramoto, Tetsuya Goto, Masaki Hirayama, Shigetoshi Sugawa, Tadahiro Ohmi

    227th Meeting of The Electrochemical Society 1353 2015/05

  180. Effect of Process Temperature of Al2O3 Atomic Layer Deposition Using Accurate Process Gasses Supply System Peer-reviewed

    Hisaya Sugita, Yasukasa Koda, Tomoyuki Suwa, Rihito Kuroda, Tetsuya Goto, Hidekazu Ishii, Satoru Yamashita, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    227th Meeting of The Electrochemical Society 1399 2015/05

  181. Low Work Function LaB6 thin Films Prepared By Nitrogen Doped LaB6 target Sputtering Peer-reviewed

    Hidekazu Ishii, Kentaro Takahashi, Tetsuya Goto, Shigetoshi Sugawa, Tadahiro Ohmi

    227th Meeting of The Electrochemical Society 2288 2015/05

  182. Atomically flattening of Si surface of silicon on insulator and isolation-patterned wafers Peer-reviewed

    Tetsuya Goto, Rihito Kuroda, Naoya Akagawa, Tomoyuki Suwa, Akinobu Teramoto, Xiang Li, Toshiki Obara, Daiki Kimoto, Shigetoshi Sugawa, Tadahiro Ohmi, Yutaka Kamata, Yuki Kumagai, Katsuhiko Shibusawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 54 (4) 04DA04-1-04DA04-7 2015/04

    DOI: 10.7567/JJAP.54.04DA04  

    ISSN: 0021-4922

    eISSN: 1347-4065

  183. Analysis of breakdown voltage of area surrounded by multiple trench gaps in 4 kV monolithic isolator for communication network interface Peer-reviewed

    Yusuke Takeuchi, Rihito Kuroda, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 54 (4) 04DB01-1-04DB01-5 2015/04

    DOI: 10.7567/JJAP.54.04DB01  

    ISSN: 0021-4922

    eISSN: 1347-4065

  184. Analysis of Pixel Gain and Linearity of CMOS Image Sensor using Floating Capacitor Load Readout Operation Peer-reviewed

    S. Wakashima, F. Kusuhara, R. Kuroda, S. Sugawa

    IMAGE SENSORS AND IMAGING SYSTEMS 2015 9403 94030E-1-94030E-10 2015

    DOI: 10.1117/12.2083111  

    ISSN: 0277-786X

  185. UV/VIS/NIR imaging technologies: challenges and opportunities Invited Peer-reviewed

    Rihito Kuroda, Shigetoshi Sugawa

    IMAGE SENSING TECHNOLOGIES: MATERIALS, DEVICES, SYSTEMS, AND APPLICATIONS II 9481 948108-1-948108-8 2015

    DOI: 10.1117/12.2180060  

    ISSN: 0277-786X

    eISSN: 1996-756X

  186. Crystallinity improvement of ferroelectric BiFeO&lt;inf&gt;3&lt;/inf&gt; thin film by oxygen radical treatment Peer-reviewed

    F. Imaizumi, T. Goto, A. Teramoto, S. Sugawa, T. Ohmi

    ECS Transactions 66 (5) 261-267 2015

    Publisher: Electrochemical Society Inc.

    DOI: 10.1149/06605.0261ecst  

    ISSN: 1938-6737 1938-5862

    eISSN: 1938-5862

  187. Ultra-low temperature flattening technique of silicon surface using Xe/H&lt;inf&gt;2&lt;/inf&gt; plasma Peer-reviewed

    Tomoyuki Suwa, Akinobu Teramoto, Tetsuya Goto, Masaki Hirayama, Shigetoshi Sugawa, Tadahiro Ohmi

    ECS Transactions 66 (5) 277-283 2015

    Publisher: Electrochemical Society Inc.

    DOI: 10.1149/06605.0277ecst  

    ISSN: 1938-6737 1938-5862

  188. Low temperature atomically flattening of Si surface of shallow trench isolation pattern Peer-reviewed

    T. Goto, R. Kuroda, T. Suwa, A. Teramoto, N. Akagawa, D. Kimoto, S. Sugawa, T. Ohmi, Y. Kamata, Y. Kumagai, K. Shibusawa

    ECS Transactions 66 (5) 285-292 2015

    Publisher: Electrochemical Society Inc.

    DOI: 10.1149/06605.0285ecst  

    ISSN: 1938-6737 1938-5862

    eISSN: 1938-6737

  189. Effect of hydrogen on silicon nitrides formation by microwave excited plasma enhanced chemical vapor deposition Peer-reviewed

    A. Teramoto, Y. Nakao, T. Suwa, K. Hashimoto, T. Motoya, M. Hirayama, S. Sugawa, T. Ohmi

    ECS Transactions 66 (4) 151-159 2015

    Publisher: Electrochemical Society Inc.

    DOI: 10.1149/06604.0151ecst  

    ISSN: 1938-6737 1938-5862

  190. Effect of Process Temperature of Al2O3 Atomic Layer Deposition Using Accurate Process Gasses Supply System Peer-reviewed

    H. Sugita, Y. Koda, T. Suwa, R. Kuroda, T. Goto, H. Ishii, S. Yamashita, A. Teramoto, S. Sugawa, T. Ohmi

    ECS Transactions 66 (4) 305-314 2015

    Publisher: Electrochemical Society Inc.

    DOI: 10.1149/06604.0305ecst  

    ISSN: 1938-6737 1938-5862

    eISSN: 1938-5862

  191. Surface metal cleaning of GaN surface based on redox potential of cleaning solution Peer-reviewed

    K. Nagao, K. Nakamura, A. Teramoto, Y. Shirai, F. Imaizumi, T. Suwa, S. Sugawa, T. Ohmi

    ECS Transactions 66 (7) 11-21 2015

    Publisher: Electrochemical Society Inc.

    DOI: 10.1149/06607.0011ecst  

    ISSN: 1938-6737 1938-5862

  192. A Linear Response Single Exposure CMOS Image Sensor with 0.5e(-) Readout Noise and 76ke(-) Full Well Capacity Peer-reviewed

    Shunichi Wakashima, Fumiaki Kusuhara, Rihito Kuroda, Shigetoshi Sugawa

    2015 SYMPOSIUM ON VLSI CIRCUITS (VLSI CIRCUITS) C88-C89 2015

    DOI: 10.1109/VLSIC.2015.7231334  

  193. Drastic Suppression of the 1/f Noise in MOSFETs: Fundamental Fluctuations of Mobility Rather Than Induced Mobility Fluctuations Invited Peer-reviewed

    Philippe Gaubert, Akinobu Teramoto, Shigetoshi Sugawa

    2015 INTERNATIONAL CONFERENCE ON NOISE AND FLUCTUATIONS (ICNF) 1-6 2015

    DOI: 10.1109/ICNF.2015.7288580  

  194. Effect of oxygen impurity on nitrogen radicals in post-discharge flows Peer-reviewed

    Y. Shiba, A. Teramoto, T. Suwa, K. Watanabe, S. Nishimura, Y. Shirai, S. Sugawa

    ECS Transactions 69 (39) 1-9 2015

    Publisher: Electrochemical Society Inc.

    DOI: 10.1149/06939.0001ecst  

    ISSN: 1938-6737 1938-5862

  195. An Ultraviolet Radiation Sensor Using Differential Spectral Response of Silicon Photodiodes Peer-reviewed

    Yhang Ricardo Sipauba Carvalho da Silva, Yasumasa Koda, Satoshi Nasuno, Rihito Kuroda, Shigetoshi Sugawa

    2015 IEEE SENSORS 1847-1850 2015

    DOI: 10.1109/ICSENS.2015.7370656  

    ISSN: 1930-0395

  196. Wide spectral response and highly robust Si image sensor technology Peer-reviewed

    Rihito Kuroda, Shigetoshi Sugawa

    2nd Asian Image Sensor and Imaging System Symposium 38 7-8 2014/12

    Publisher: The Institute of Image Information and Television Engineers

    DOI: 10.11485/itetr.38.47.0_7  

    More details Close

    An image sensor technology based on flattened Si surface is summarized that enables a wide spectral response of 200-1000 nm and high robustness of light sensitivity toward ultraviolet light exposure. Performances and opportunities of the light detectors and image sensors using the developed technology are demonstrated.

  197. Atomically Flattening of Si Surface of SOI and Isolation-patterned Wafers Peer-reviewed

    T. Goto, R. Kuroda, N. Akagawa, T. Suwa, A. Teramoto, X. Li, S. Sugawa, T. Ohmi, Y. Kumagai, Y. Kamata, T. Shibusawa

    Extended Abstracts of the 2014 International Conference on Solid State Devices and Materials 670-671 2014/09

  198. Analysis of the breakdown voltage of an area surrounded by the multi-trench gaps in a 4kV monolithic isolator for a communication network interface Peer-reviewed

    Yusuke Takeuchi, Rihito Kuroda, Shigetoshi Sugawa

    Extended Abstracts of the 2014 International Conference on Solid State Devices and Materials 732-733 2014/09

  199. Effect of Composition Ratio on Erbium Silicide Work Function on Different Morphology of Si(100) Surface Changed by Alkaline Etching Peer-reviewed

    Hiroaki Tanaka, Tomoyuki Suwa, Akinobu Teramoto, Tsukasa Motoya, Shigetoshi Sugawa, Tadahiro Ohmi

    225th Meeting of The Electrochemical Society 1463 2014/05

  200. Flattening Technique of (551) Silicon Surface Using Xe/H2 Plasma Peer-reviewed

    Tomoyuki Suwa, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    225th Meeting of The Electrochemical Society 1394 2014/05

  201. High Selectivity in a Dry Etching of Silicon Nitride over Si Using a Novel Hydrofluorocarbon Etch Gas in a Microwave Excited Plasma for FinFET Peer-reviewed

    Yukihisa Nakao, Takatoshi Matsuo, Akinobu Teramoto, Hidetoshi Utsumi, Keiichi Hashimoto, Rihito Kuroda, Yasuyuki Shirai, Shigetoshi Sugawa, Tadahiro Ohmi

    225th Meeting of The Electrochemical Society 1441 2014/05

  202. Carrier mobility characteristics of (100), (110), and (551) oriented atomically flattened Si surfaces for fin structure design of multi-gate metal-insulator-silicon field-effect transistors Peer-reviewed

    Rihito Kuroda, Yukihisa Nakao, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 53 (4) 04EC04-1-04EC04-7 2014/04

    DOI: 10.7567/JJAP.53.04EC04  

    ISSN: 0021-4922

    eISSN: 1347-4065

  203. A CMOS image sensor using column-parallel forward noise-canceling circuitry Peer-reviewed

    Tsung-Ling Li, Shunichi Wakashima, Yasuyuki Goda, Rihito Kuroda, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 53 (4) 04EE14-1-04EE14-6 2014/04

    DOI: 10.7567/JJAP.53.04EE14  

    ISSN: 0021-4922

    eISSN: 1347-4065

  204. A wide dynamic range CMOS image sensor with 200-1100 nm spectral sensitivity and high robustness to UV right exposure Peer-reviewed

    Satoshi Nasuno, Shun Kawada, Yasumasa Koda, Taiki Nakazawa, Katsuhiko Hanzawa, Rihito Kuroda, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 53 (4) 04EE07-1-04EE07-4 2014/04

    DOI: 10.7567/JJAP.53.04EE07  

    ISSN: 0021-4922

    eISSN: 1347-4065

  205. Extraction of time constants ratio over nine orders of magnitude for understanding random telegraph noise in metal-oxide-semiconductor field-effect transistors Peer-reviewed

    Toshiki Obara, Akihiro Yonezawa, Akinobu Teramoto, Rihito Kuroda, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 53 (4) 04EC19-1-04EC19-7 2014/04

    DOI: 10.7567/JJAP.53.04EC19  

    ISSN: 0021-4922

    eISSN: 1347-4065

  206. Low-cost Xe sputtering of amorphous In-Ga-Zn-O thin-film transistors by rotation magnet sputtering incorporating a Xe recycle-and-supply system Peer-reviewed

    Tetsuya Goto, Hidekazu Ishii, Shigetoshi Sugawa, Tadahiro Ohmi

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A 32 (2) 02B105-1-02B105-7 2014/03

    DOI: 10.1116/1.4835775  

    ISSN: 0734-2101

    eISSN: 1520-8559

  207. High quantum efficiency 200-1000 nm spectral response photodiodes with on-chip multiple high transmittance optical layers

    Yasumasa Koda, Rihito Kuroda, Shigetoshi Sugawa

    Proceedings of IEEE Sensors 2014-December (December) 1664-1667 2014/01/01

    DOI: 10.1109/ICSENS.2014.6985340  

    ISSN: 1930-0395

    eISSN: 2168-9229

  208. Ultra-High Speed Video Capturing of Time Dependent Dielectric Breakdown of Metal-Oxide-Silicon Capacitor up to 10M frame per second Peer-reviewed

    F. Shao, D. Kimoto, K. Furukawa, H. Sugo, T. Takeda, K. Miyauchi, Y. Tochigi, R. Kuroda, S. Sugawa

    IMAGE SENSORS AND IMAGING SYSTEMS 2014 9022 902205-1-902205-9 2014

    DOI: 10.1117/12.2040859  

    ISSN: 0277-786X

  209. Pixel Structure with 10 nsec Fully Charge Transfer Time for the 20M Frame Per Second Burst CMOS Image Sensor Peer-reviewed

    K. Miyauchi, T. Takeda, K. Hanzawa, Y. Tochigi, S. Sakai, R. Kuroda, H. Tominaga, R. Hirose, K. Takubo, Y. Kondo, S. Sugawa

    IMAGE SENSORS AND IMAGING SYSTEMS 2014 9022 902203-1-902203-12 2014

    DOI: 10.1117/12.2042373  

    ISSN: 0277-786X

  210. A 1024x1 Linear Photodiode Array Sensor with Fast Readout Speed Flexible Pixel-level Integration Time and High Stability to UV Light Exposure Peer-reviewed

    Takahiro Akutsu, Shun Kawada, Yasumasa Koda, Taiki Nakazawa, Rihito Kuroda, Shigetoshi Sugawa

    IMAGE SENSORS AND IMAGING SYSTEMS 2014 9022 90220L-1-90220L-8 2014

    DOI: 10.1117/12.2040764  

    ISSN: 0277-786X

    eISSN: 1996-756X

  211. A Statistical evaluation of effective time constants of random telegraph noise with various operation timings of in-pixel source follower transistors Peer-reviewed

    A. Yonezawa, R. Kuroda, A. Teramoto, T. Obara, S. Sugawa

    IMAGE SENSORS AND IMAGING SYSTEMS 2014 9022 90220F-1-90220F-9 2014

    DOI: 10.1117/12.2041090  

    ISSN: 0277-786X

  212. Amorphous InGaZnO Thin-Film Transistors Prepared by Magnetron Sputtering Using Kr and Xe Instead of Ar Peer-reviewed

    Tetsuya Goto, Shigetoshi Sugawa, Tadahiro Ohmi

    Journal of the Society for Information Display 21 (12) 517-523 2014

    DOI: 10.1002/jsid.210  

    ISSN: 1071-0922

    eISSN: 1938-3657

  213. A Highly Ultraviolet Light Sensitive and Highly Robust Image Sensor Technology Based on Flattened Si Surface Peer-reviewed

    Rihito Kuroda, Shun Kawada, Satoshi Nasuno, Taiki Nakazawa, Yasumasa Koda, Katsuhiko Hanzawa, Shigetoshi Sugawa

    ITE Transactions on Media Technology and Applications 2 (2) 123-130 2014

    Publisher: Institute of Image Information and Television Engineers

    DOI: 10.3169/mta.2.123  

    ISSN: 2186-7364

    eISSN: 2186-7364

  214. High Selectivity in Dry Etching of Silicon Nitride over Si Using a Novel Hydrofluorocarbon Etch Gas in a Microwave Excited Plasma for FinFET Peer-reviewed

    Y. Nakao, T. Matsuo, A. Teramoto, H. Utsumi, K. Hashimoto, R. Kuroda, Y. Shirai, S. Sugawa, T. Ohmi

    SILICON COMPATIBLE MATERIALS, PROCESSES, AND TECHNOLOGIES FOR ADVANCED INTEGRATED CIRCUITS AND EMERGING APPLICATIONS 4 61 (3) 29-37 2014

    DOI: 10.1149/06103.0029ecst  

    ISSN: 1938-5862

  215. Flattening Technique of (551) Silicon Surface Using Xe/H-2 Plasma Peer-reviewed

    Tomoyuki Suwa, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    DIELECTRICS FOR NANOSYSTEMS 6: MATERIALS SCIENCE, PROCESSING, RELIABILITY, AND MANUFACTURING 61 (2) 401-407 2014

    DOI: 10.1149/06102.0401ecst  

    ISSN: 1938-5862

  216. Effect of Composition Ratio on Erbium Silicide Work Function on Different Morphology of Si(100) Surface Changed by Alkaline Etching Peer-reviewed

    Hiroaki Tanaka, Tomoyuki Suwa, Akinobu Teramoto, Tsukasa Motoya, Shigetoshi Sugawa, Tadahiro Ohmi

    SILICON COMPATIBLE MATERIALS, PROCESSES, AND TECHNOLOGIES FOR ADVANCED INTEGRATED CIRCUITS AND EMERGING APPLICATIONS 4 61 (3) 47-53 2014

    DOI: 10.1149/06103.0047ecst  

    ISSN: 1938-5862

  217. Si image sensors with wide spectral response and high robustness to ultraviolet light exposure Invited Peer-reviewed

    Rihito Kuroda, Shigetoshi Sugawa

    IEICE ELECTRONICS EXPRESS 11 (10) 1-16 2014

    DOI: 10.1587/elex.11.20142004  

    ISSN: 1349-2543

  218. Application of rotation magnet sputtering technology to a-IGZO film depositions Invited Peer-reviewed

    Tetsuya Goto, Shigetoshi Sugawa, Tadahiro Ohmi

    Digest of Technical Papers - SID International Symposium 45 (1) 5-8 2014

    Publisher: Blackwell Publishing Ltd

    DOI: 10.1002/j.2168-0159.2014.tb00002.x  

    ISSN: 2168-0159 0097-966X

  219. Demonstrating Individual Leakage Path from Random Telegraph Signal of Stress Induced Leakage Current Peer-reviewed

    A. Teramoto, T. Inatsuka, T. Obara, N. Akagawa, R. Kuroda, S. Sugawa, T. Ohmi

    2014 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM GD.1.1-GD.1.5 2014

    DOI: 10.1109/IRPS.2014.6861144  

    ISSN: 1541-7026

  220. Analyzing Correlation between Multiple Traps in RTN Characteristics Peer-reviewed

    Toshiki Obara, Akinobu Teramoto, Akihiro Yonezawa, Rihito Kuroda, Shigetoshi Sugawa, Tadahiro Ohmi

    2014 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM 4A.6.1-4A.6.7 2014

    DOI: 10.1109/IRPS.2014.6860644  

    ISSN: 1541-7026

  221. A Novel Analysis of Oxide Breakdown based on Dynamic Observation using Ultra-High Speed Video Capturing Up to 10,000,000 Frames Per Second Peer-reviewed

    Rihito Kuroda, Fan Shao, Daiki Kimoto, Kiichi Furukawa, Hidetake Sugo, Tohru Takeda, Ken Miyauchi, Yasuhisa Tochigi, Akinobu Teramoto, Shigetoshi Sugawa

    2014 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM 3F.3.1-3F.3.4 2014

    DOI: 10.1109/IRPS.2014.6860637  

    ISSN: 1541-7026

  222. High Performance Normally-Off GaN Mosfets On Si Substrates Peer-reviewed

    H. Kambayashi, N. Ikeda, T. Nomura, H. Ueda, Y. Morozumi, K. Harada, K. Hasebe, A. Teramoto, S. Sugawa, T. Ohmi

    224th Meeting of The Electrochemical Society 1911 2013/10

  223. Diaphragm Durability Enhancement for Valves Supplying Gas for Atomic Layer Deposition Peer-reviewed

    M. Yamaji, T. Tanikawa, T. Yakushijin, T. Funakoshi, S. Yamashita, A. Hidaka, M. Nagase, N. Ikeda, S. Sugawa, T. Ohmi

    224th Meeting of The Electrochemical Society 1862 2013/10

  224. Schottky barrier height between erbium silicide and various morphology of Si (100) surface changed by alkaline etching Peer-reviewed

    H. Tanaka, A. Teramoto, S. Sugawa, T. Ohmi

    224th Meeting of The Electrochemical Society 2170 2013/10

  225. A Statistical Evaluation of Random Telegraph Noise of In-Pixel Source Follower Equivalent Surface and Buried Channel Transistors Peer-reviewed

    Rihito Kuroda, Akihiro Yonezawa, Akinobu Teramoto, Tsung-Ling Li, Yasuhisa Tochigi, Shigetoshi Sugawa

    IEEE TRANSACTIONS ON ELECTRON DEVICES 60 (10) 3555-3561 2013/10

    DOI: 10.1109/TED.2013.2278980  

    ISSN: 0018-9383

    eISSN: 1557-9646

  226. Highly UV-light sensitive and highly robust CMOS image sensor with 97dB wide dynaamic range and 200-1100 nm spectral sensitivity

    Satoshi Nasuno, Shun Kawada, Yasumasa Koda, Rihito Kuroda, Shigetoshi Sugawa

    Asian Symposium on Advanced Image Sensors and Imaging Systems 15-16 2013/10

  227. Ultra-high Speed Image Sensors for Scientific Imaging Invited Peer-reviewed

    Rihito Kuroda, Yasuhisa Tochigi, Ken Miyauchi, Tohru Takeda, Ryuta Hirose, Hideki Tominaga, Kenji Takubo, Yasushi Kondo, Shigetoshi Sugawa

    Extended Abstracts of the 2013 International Conference on Solid State Devices and Materials 872-873 2013/09/25

  228. Carrier Mobility on (100), (110), and (551) Oriented Atomically Flattened Si Surfaces for Multi-gate MOSFETs Device Design Peer-reviewed

    Rihito Kuroda, Yukihisa Nakao, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    Extended Abstracts of the 2013 International Conference on Solid State Devices and Materials 702-703 2013/09/25

  229. Extraction of Time Constants Ratio over Nine Orders of Magnitude for Understanding Random Telegraph Noise in MOSFETs Peer-reviewed

    T. Obara, A. Yonezawa, A. Teramoto, R. Kuroda, S. Sugawa, T. Ohmi

    Extended Abstracts of the 2013 International Conference on Solid State Devices and Materials 722-723 2013/09/25

  230. Detection of oxidation-induced compressive stress in Si(100) substrate near the SiO2/Si interface with atomic-scale resolution Peer-reviewed

    T. Suwa, K. Nagata, H. Nohira, K. Nakajima, A. Teramoto, A. Ogura, K. Kimura, T. Muro, T. Kinoshita, S. Sugawa, T. Hattori, T. Ohmi

    Extended Abstracts of the 2013 International Conference on Solid State Devices and Materials 610-611 2013/09

  231. Wet cleaning process for GaN Surface at room temperature Peer-reviewed

    Yukihiro Tsuji, Tsukuru Katsuyama, Akinobu Teramoto, Yasuyuki Shirai, Shigetoshi Sugawa, Tadahiro Ohmi

    Extended Abstracts of the 2013 International Conference on Solid State Devices and Materials 162-163 2013/09

  232. Stress induced leakage current generated by hot-hole injection Peer-reviewed

    Akinobu Teramoto, Hyeonwoo Park, Takuya Inatsuka, Rihito Kuroda, Shigetoshi Sugawa, Tadahiro Ohmi

    MICROELECTRONIC ENGINEERING 109 298-301 2013/09

    DOI: 10.1016/j.mee.2013.03.116  

    ISSN: 0167-9317

    eISSN: 1873-5568

  233. A Test Circuit for Extremely Low Gate Leakage Current Measurement of 10 aA for 80 000 MOSFETs in 80 s Peer-reviewed

    Takuya Inatsuka, Yuki Kumagai, Rihito Kuroda, Akinobu Teramoto, Tomoyuki Suwa, Shigetoshi Sugawa, Tadahiro Ohmi

    IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING 26 (3) 288-295 2013/08

    DOI: 10.1109/TSM.2013.2260568  

    ISSN: 0894-6507

    eISSN: 1558-2345

  234. 撮像素子の高機能化と小型化 Peer-reviewed

    須川成利

    光学 42 (7) 351-356 2013/07

    Publisher: 日本光学会(応用物理学会)

  235. Impact of the Use of Xe and Its Recycling System for Preparing Amorphous InGaZnO Thin Film Transistors by Dual-Target Rotation Magnet Sputtering Peer-reviewed

    Tetsuya Goto, Hidekazu Ishii, Shigetoshi Sugawa, Tadahiro Ohmi

    The Twelfth International Symposium on Sputtering & Plasma Processes (ISSP 2013) 22-25 2013/07

  236. Chemical Structure of Interfacial Transition Layer Formed on Si(100) and Its Dependence on Oxidation Temperature, Annealing in Forming Gas, and Difference in Oxidizing Species (vol 52, 031302, 2013) Peer-reviewed

    Tomoyuki Suwa, Akinobu Teramoto, Yuki Kumagai, Kenichi Abe, Xiang Li, Yukihisa Nakao, Masashi Yamamoto, Hiroshi Nohira, Takayuki Muro, Toyohiko Kinoshita, Shigetoshi Sugawa, Tadahiro Ohmi, Takeo Hattori

    JAPANESE JOURNAL OF APPLIED PHYSICS 52 (6) 031302-1-031302-14 2013/06

    DOI: 10.7567/JJAP.52.069203  

    ISSN: 0021-4922

    eISSN: 1347-4065

  237. Low-Interface-Trap-Density and High-Breakdown-Electric-Field SiN Films on GaN Formed by Plasma Pretreatment Using Microwave-Excited Plasma-Enhanced Chemical Vapor Deposition Peer-reviewed

    Tadashi Watanabe, Akinobu Teramoto, Yukihisa Nakao, Shigetoshi Sugawa, Tadahiro Ohmi

    IEEE TRANSACTIONS ON ELECTRON DEVICES 60 (6) 1916-1922 2013/06

    DOI: 10.1109/TED.2013.2258347  

    ISSN: 0018-9383

    eISSN: 1557-9646

  238. Impact of Injected Carrier Types to Stress Induced Leakage Current Using Substrate Hot Carrier Injection Stress Peer-reviewed

    H. W. Park, A. Teramoto, T. Inatsuka, R. Kuroda, S. Sugawa, T. Ohmi

    2013 Asia-Pacific Workshop on Fundamentals and Application of Advanced Semiconductor Devices (AWAD 2013) 75-78 2013/06

  239. A FSI CMOS Image Sensor with 200-1000 nm Spectral Response and High Robustness to Ultraviolet Light Exposure Peer-reviewed

    Rihito Kuroda, Shun Kawada, Satoshi Nasuno, Taiki Nakazawa, Yasumasa Koda, Katsuhiko Hanzawa, Shigetoshi Sugawa

    2013 International Image Sensor Workshop 61-64 2013/06

  240. Electrical Properties of Amorphous InGaZnO Thin-Film Transistors Prepared by Magnetron Sputtering with Using Kr and Xe Instead of Ar Peer-reviewed

    Tetsuya Goto, Shigetoshi Sugawa, Tadahiro Ohmi

    Society for Information Display, SID International Symposium, Seminar, and Exhibition, DisplayWeek 2013 727-730 2013/05

  241. XPS analysis of the terminated-bonding states at GaN surface after chemical and plasma treatments Peer-reviewed

    Yukihiro Tsuji, Tadashi Watanabe, Kenichi Nakamura, Isao Makabe, Ken Nakata, Tsukuru Katsuyama, Akinobu Teramoto, Yasuyuki Shirai, Shigetoshi Sugawa, Tadahiro Ohmi

    The 40th International Symposium on Compound Semiconductors 10 (11) MoPC-06-21 2013/05

    ISSN: 1862-6351

  242. Impact of the Use of Xe on Electrical Properties in Magnetron-Sputtering Deposited Amorphous InGaZnO Thin-Film Transistors Peer-reviewed

    Tetsuya Goto, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 52 (5) 050203-1-050203-4 2013/05

    DOI: 10.7567/JJAP.52.050203  

    ISSN: 0021-4922

  243. Stress induced leakage current generated by hot-hole injection Peer-reviewed

    A. Teramoto, H.W. Park, T. Inatsuka, R. Kuroda, S. Sugawa, T. Ohmi

    18th Conference of “Insulating Films on Semiconductors” (infos2013) Book of Abstracts 156-157 2013/05

  244. A 2.8 mu m Pixel-Pitch 55 ke(-) Full-Well Capacity Global-Shutter Complementary Metal Oxide Semiconductor Image Sensor Using Lateral Overflow Integration Capacitor Peer-reviewed

    Shin Sakai, Yoshiaki Tashiro, Rihito Kuroda, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 52 (4) 1109-1110 2013/04

    DOI: 10.7567/JJAP.52.04CE01  

    ISSN: 0021-4922

    eISSN: 1347-4065

  245. A 2.8 mu m Pixel-Pitch 55 ke(-) Full-Well Capacity Global-Shutter Complementary Metal Oxide Semiconductor Image Sensor Using Lateral Overflow Integration Capacitor Peer-reviewed

    Shin Sakai, Yoshiaki Tashiro, Rihito Kuroda, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 52 (4) 04CE01-1-04CE01-5 2013/04

    DOI: 10.7567/JJAP.52.04CE01  

    ISSN: 0021-4922

    eISSN: 1347-4065

  246. High Quality SiO2/Al2O3 Gate Stack for GaN Metal-Oxide-Semiconductor Field-Effect Transistor Peer-reviewed

    Hiroshi Kambayashi, Takehiko Nomura, Hirokazu Ueda, Katsushige Harada, Yuichiro Morozumi, Kazuhide Hasebe, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 52 (4) 04CF09-1-04CF09-6 2013/04

    DOI: 10.7567/JJAP.52.04CF09  

    ISSN: 0021-4922

    eISSN: 1347-4065

  247. A Column-Parallel Hybrid Analog-to-Digital Converter Using Successive-Approximation-Register and Single-Slope Architectures with Error Correction for Complementary Metal Oxide Silicon Image Sensors Peer-reviewed

    Tsung-Ling Li, Shin Sakai, Shun Kawada, Yasuyuki Goda, Shunichi Wakashima, Rihito Kuroda, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 52 (4) 04CE04-1-04CE04-7 2013/04

    DOI: 10.7567/JJAP.52.04CE04  

    ISSN: 0021-4922

    eISSN: 1347-4065

  248. Ultra-High-Speed Imaging Invited Peer-reviewed

    Shigetosi Sugawa

    IEEE INTERNATIONAL SOLID-STATE CIRCUITS CONFERENCE, FORUM, F4: SCIENTIFIC IMAGING 2013/02

  249. Recent Trend on Wide Dynamic Range Image Sensor Technologies Invited Peer-reviewed

    Shigetoshi Sugawa

    Technology Front of Optical Design and Imaging for DSC, Pre-ODF'14 2013/01/31

  250. Effect of composition rate on erbium silicide work function on different silicon surface orientation Peer-reviewed

    H. Tanaka, A. Teramoto, T. Motoya, S. Sugawa, T. Ohmi

    223rd Meeting of The Electrochemical Society 773 2013

  251. A global-shutter CMOS image sensor with readout speed of 1-tpixel/s burst and 780-mpixel/s continuous Peer-reviewed

    Yasuhisa Tochigi, Katsuhiko Hanzawa, Yuri Kato, Rihito Kuroda, Hideki Mutoh, Ryuta Hirose, Hideki Tominaga, Kenji Takubo, Yasushi Kondo, Shigetoshi Sugawa

    IEEE Journal of Solid-State Circuits 48 (1) 329-338 2013

    DOI: 10.1109/JSSC.2012.2219685  

    ISSN: 0018-9200

  252. Color reproductivity improvement with additional virtual color filters for WRGB image sensor Peer-reviewed

    Shun Kawada, Rihito Kuroda, Shigetoshi Sugawa

    Proceedings of SPIE - The International Society for Optical Engineering 8652 865205-1-865205-7 2013

    DOI: 10.1117/12.2003320  

    ISSN: 0277-786X

  253. A statistical evaluation of low frequency noise of in-pixel source follower-equivalent transistors with various channel types and body bias Peer-reviewed

    R. Kuroda, A. Yonezawa, A. Teramoto, T. L. Li, Y. Tochigi, S. Sugawa

    Proceedings of SPIE - The International Society for Optical Engineering 8659 86590D-1-86590D-9 2013

    DOI: 10.1117/12.2005759  

    ISSN: 0277-786X

  254. New Analog Readout Architecture for Low Noise CMOS Image Sensors Using Column-Parallel Forward Noise-Canceling Circuitry Peer-reviewed

    Tsung-Ling Li, Yasuyuki Goda, Shunichi Wakashima, Rihito Kuroda, Shigetoshi Sugawa

    SENSORS, CAMERAS, AND SYSTEMS FOR INDUSTRIAL AND SCIENTIFIC APPLICATIONS XIV 8659 86590E-1-86590E-9 2013

    DOI: 10.1117/12.2003741  

    ISSN: 0277-786X

    eISSN: 1996-756X

  255. A CMOS Image Sensor using Floating Capacitor Load Readout Operation Peer-reviewed

    S. Wakashima, Y. Goda, T. L. Li, R. Kuroda, S. Sugawa

    SENSORS, CAMERAS, AND SYSTEMS FOR INDUSTRIAL AND SCIENTIFIC APPLICATIONS XIV 8659 86590I-1-86590I-9 2013

    DOI: 10.1117/12.2004892  

    ISSN: 0277-786X

  256. A UV Si-photodiode with almost 100% internal QE and high transmittance on-chip multilayer dielectric stack Peer-reviewed

    Y. Koda, R. Kuroda, T. Nakazawa, Y. Nakao, S. Sugawa

    SENSORS, CAMERAS, AND SYSTEMS FOR INDUSTRIAL AND SCIENTIFIC APPLICATIONS XIV 8659 86590J-1-86590J-6 2013

    DOI: 10.1117/12.2005574  

    ISSN: 0277-786X

  257. High integrity SiO2/Al2O3 gate stack for normally-off GaN MOSFET Peer-reviewed

    Hiroshi Kambayashi, Takehiko Nomura, Hirokazu Ueda, Katsushige Harada, Yuichiro Morozumi, Kazuhide Hasebe, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    Materials Research Society Symposium Proceedings 1561 7-12 2013

    Publisher: Materials Research Society

    DOI: 10.1557/opl.2013.822  

    ISSN: 0272-9172

  258. The Study of Time Constant Analysis in Random Telegraph Noise at the Subthreshold Voltage Region Peer-reviewed

    A. Yonezawa, A. Teramoto, T. Obara, R. Kuroda, S. Sugawa, T. Ohmi

    2013 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM (IRPS) XT.11.1 2013

    DOI: 10.1109/IRPS.2013.6532126  

    ISSN: 1541-7026

  259. Demonstrating Distribution of SILC Values at Individual Leakage Spots Peer-reviewed

    Takuya Inatsuka, Rihito Kuroda, Akinobu Teramoto, Yuki Kumagai, Shigetoshi Sugawa, Tadahiro Ohmi

    2013 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM (IRPS) GD.5.1 2013

    DOI: 10.1109/IRPS.2013.6532088  

    ISSN: 1541-7026

  260. Effect of composition rate on erbium silicide work function on different silicon surface orientation Peer-reviewed

    Hiroaki Tanaka, Akinobu Teramoto, Tsukasa Motoya, Shigetoshi Sugawa, Tadahiro Ohmi

    ECS Transactions 53 (1) 343-350 2013

    DOI: 10.1149/05301.0343ecst  

    ISSN: 1938-5862 1938-6737

  261. XPS analysis of the terminated-bonding states at GaN surface after chemical and plasma treatments Peer-reviewed

    Yukihiro Tsuji, Tadashi Watanabe, Kenichi Nakamura, Isao Makabe, Ken Nakata, Tsukuru Katsuyama, Akinobu Teramoto, Yasuyuki Shirai, Shigetoshi Sugawa, Tadahiro Ohmi

    PHYSICA STATUS SOLIDI C: CURRENT TOPICS IN SOLID STATE PHYSICS, VOL 10, NO 11 10 (11) 1557-1560 2013

    DOI: 10.1002/pssc.201300225  

    ISSN: 1862-6351

  262. Amorphous In-Ga-Zn-O thin-film transistors prepared by magnetron sputtering using Kr and Xe instead of Ar Peer-reviewed

    Tetsuya Goto, Shigetoshi Sugawa, Tadahiro Ohmi

    Journal of the Society for Information Display 21 (12) 517-523 2013

    Publisher: Society for Information Display

    DOI: 10.1002/jsid.210  

    ISSN: 1938-3657 1071-0922

  263. High-speed and highly accurate evaluation of electrical characteristics in MOSFETs Invited Peer-reviewed

    Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    ICICDT 2013 - International Conference on IC Design and Technology, Proceedings 187-190 2013

    DOI: 10.1109/ICICDT.2013.6563333  

  264. Angle-resolved photoelectron spectroscopy study on interfacial transition layer and oxidation-induced residual stress in Si(1 0 0) substrate near the interface Peer-reviewed

    Tomoyuki Suwa, Akinobu Teramoto, Kohki Nagata, Atsushi Ogura, Hiroshi Nohira, Takayuki Muro, Toyohiko Kinoshita, Shigetoshi Sugawa, Tadahiro Ohmi, Takeo Hattori

    Microelectronic Engineering 109 197-199 2013

    DOI: 10.1016/j.mee.2013.03.004  

    ISSN: 0167-9317

  265. 1/f noise of accumulation mode p- and n-MOSFETs Peer-reviewed

    Philippe Gaubert, Akinobu Teramoto, Tadahiro Ohmi, Shigetoshi Sugawa

    2013 22ND INTERNATIONAL CONFERENCE ON NOISE AND FLUCTUATIONS (ICNF) 40 2013

    DOI: 10.1109/ICNF.2013.6578879  

  266. Schottky barrier height between erbium silicide and various morphology of Si(100) surface changed by alkaline etching Peer-reviewed

    Hiroaki Tanaka, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    ECS Transactions 58 (7) 349-354 2013

    Publisher: Electrochemical Society Inc.

    DOI: 10.1149/05807.0349ecst  

    ISSN: 1938-6737 1938-5862

  267. Diaphragm Durability Enhancement for Valves Supplying Gas for Atomic Layer Deposition Peer-reviewed

    M. Yamaji, T. Tanikawa, T. Yakushijin, T. Funakoshi, S. Yamashita, A. Hidaka, M. Nagase, N. Ikeda, S. Sugawa, T. Ohmi

    ECS Transactions 58 (10) 41-48 2013

    DOI: 10.1149/05810.0041ecst  

    ISSN: 1938-5862

  268. High performance normally-off GaN MOSFETs on Si substrates Peer-reviewed

    H. Kambayashi, N. Ikeda, T. Nomura, H. Ueda, Y. Morozumi, K. Harada, K. Hasebe, A. Teramoto, S. Sugawa, T. Ohmi

    ECS Transactions 58 (4) 155-166 2013

    DOI: 10.1149/05804.0155ecst  

    ISSN: 1938-5862

  269. Adhesion Characteristics of Magnetron-Sputter Deposited Copper on Smooth Cycloolefin for Realizing Wiring with High-Frequency Signal Propagation Peer-reviewed

    Tetsuya Goto, Takatoshi Matsuo, Masamichi Iwaki, Kazuki Soeda, Ryosuke Hiratsuka, Shigetoshi Sugawa, Tadahiro Ohmi

    Transactions of The Japan Institute of Electronics Packaging 5 (1) 12-19 2012/12

    Publisher: The Japan Institute of Electronics Packaging

    DOI: 10.5104/jiepeng.5.12  

    ISSN: 1883-3365

    More details Close

    Copper wiring formation on a resin material with a low dielectric constant, a low dielectric loss and a smooth surface is indispensable to realize high-frequency signal propagation with fine wiring patterns. Cycloolefin polymer (COP) resin is a promising material to meet these requirements. We propose adhesive copper seed layer formation on the COP by magnetron sputtering as an alternative to the electroless deposition which usually requires an intentional roughness-induced process to obtain practical adhesion between the resin and the metal. The proposed process steps include plasma nitridation of the COP surface, thin CuN film deposition and Cu film deposition before the electroplating. Excellent adhesion strength between the COP and the metal, greater than 1 kN/m, can be obtained while maintaining a smooth surface, which is attributed to the strong chemical bond generated between the nitrided COP surface and the CuN film. The coplanar transmission line was fabricated using the proposed process steps with semi-additive processes, and we found that the introduction of relatively high-resistive CuN film does not cause degradation of the propagation characteristics.

  270. Comprehensive study on chemical structures of compositional transition layer at SiO2/Si(100) interface Peer-reviewed

    Tomoyuki Suwa, Akinobu Teramoto, Takayuki Muro, Toyohiko Kinoshita, Shigetoshi Sugawa, Takeo Hattori, Tadahiro Ohmi

    Pacific Rim Meeting on Electrochemical and Solid State Science 222nd Meeting of The Electrochemical Society 2609 2012/10

  271. Low frequency noise assessment of accumulation Si p-MOSFETs Peer-reviewed

    Philippe Gaubert, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    International Conference on Solid State Devices and Materials 839-840 2012/09/24

  272. High Quality SiO2/Al2O3 Gate Stack for GaN MOSFET Peer-reviewed

    Hiroshi Kambayashi, Takehoko Nomura, Hirokazu Ueda, Katsushige Harada, Yuichiro Morozumi, Kazuhide Hasebe, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    International Conference on Solid State Devices and Materials 901-902 2012/09/24

  273. A Column-Parallel Hybrid ADC using SAR and Single-Slope with Error Correction for CMOS Image Sensors Peer-reviewed

    Tsung-Ling Li, Shin Sakai, Shun Kawada, Yasuyuki Goda, Shunichi Wakashima, Rihito Kuroda, Shigetoshi Sugawa

    International Conference on Solid State Devices and Materials 1113-1114 2012/09/24

  274. Dependence of chemical structures of transition layer at SiO2/Si(100) interface on oxidation temperature, annealing in forming gas, and oxidizing species Peer-reviewed

    Tomoyuki Suwa, Akinobu Teramoto, Takayuki Muro, Toyohiko Kinoshita, Shigetoshi Sugawa, Takeo Hattori, Tadahiro Ohmi

    International Conference on Solid State Devices and Materials 28-29 2012/09/24

  275. Achievement, Issues, and next steps of CMOS image sensors Invited Peer-reviewed

    Shigetoshi Sugawa

    International Workshop on Semiconductor Pixel Detectors for Particles and Imaging 2012/09/04

  276. A Test Circuit for Statistical Evaluation of p-n Junction Leakage Current and Its Noise Peer-reviewed

    Kenichi Abe, Takafumi Fujisawa, Hiroyoshi Suzuki, Shunichi Watabe, Rihito Kuroda, Shigetoshi Sugawa, Akinobu Teramoto, Tadahiro Ohmi

    IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING 25 (3) 303-309 2012/08

    DOI: 10.1109/TSM.2012.2202751  

    ISSN: 0894-6507

    eISSN: 1558-2345

  277. A Novel Chemically, Thermally and Electrically Robust Cu Interconnect Structure with an Organic Non-porous Ultralow-k Dielectric Fluorocarbon (k=2.2) Peer-reviewed

    X. Gu, A. Teramoto, R. Kuroda, Y. Tomita, T. Nemoto, S.-i. Kuroki, S. Sugawa, T. Ohmi

    Proceeding of 2012 Symposium on VLSI Technology 119-120 2012/06/12

    DOI: 10.1109/VLSIT.2012.6242490  

    ISSN: 0743-1562

  278. Low Temperature Crystallization of a-InGaZnO4 films Peer-reviewed

    Akihiko Hiroe, Tetsuya Goto, Shigetoshi Sugawa, Tadahiro Ohmi

    Society for Information Display, Display Week 2012 1251-1253 2012/06

  279. Deposition of a-InGaZnOx by Rotation Magnet Sputtering Peer-reviewed

    Akihiko Hiroe, Tetsuya Goto, Shigetoshi Sugawa, Tadahiro Ohmi

    Society for Information Display, Display Week 2012 760-763 2012/06

  280. 100nm-gate-length Normally-off Accumulation-Mode FD-SOI MOSFETs for Low Noise Analog/RF Circuits Peer-reviewed

    Hidetoshi Utsumi, Ryohei Kasahara, Yukihisa Nakao, Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    [2012 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2012) 56-61 2012/06

  281. New Metal Organic Gas Supply System by Using an Advanced Flow Control System Peer-reviewed

    Michio Yamaji, Satoru Yamashita, Atsushi Hidaka, Masaaki Nagase, Nobukazu Ikeda, Shigetoshi Sugawa, Tadahiro Ohmi

    221st Meeting of The Electrochemical Society 739 2012/05

  282. Electrical Properties of Silicon Nitride Using High Density and Low Plasma Damage PECVD Formed at 400ºC Peer-reviewed

    Yukihisa Nakao, Rihito Kuroda, Hiroaki Tanaka, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    221st Meeting of The Electrochemical Society 738 2012/05

  283. Low Work Function between Erbium Silicide and n-type Silicon Control by Cap Film Stress Peer-reviewed

    Hiroaki Tanaka, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    221st Meeting of The Electrochemical Society 716 2012/05

  284. A Simple Test Structure for Evaluating the Variability in Key Characteristics of a Large Number of MOSFETs Peer-reviewed

    Shunichi Watabe, Akinobu Teramoto, Kenichi Abe, Takafumi Fujisawa, Naoto Miyamoto, Shigetoshi Sugawa, Tadahiro Ohmi

    IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING 25 (2) 145-154 2012/05

    DOI: 10.1109/TSM.2011.2181667  

    ISSN: 0894-6507

    eISSN: 1558-2345

  285. Cu Single Damascene Integration of an Organic Nonporous Ultralow-k Fluorocarbon Dielectric Deposited by Microwave-Excited Plasma-Enhanced CVD Peer-reviewed

    Xun Gu, Takenao Nemoto, Yugo Tomita, Akinobu Teramoto, Rihito Kuroda, Shin-Ichiro Kuroki, Kazumasa Kawase, Shigetoshi Sugawa, Tadahiro Ohmi

    IEEE TRANSACTIONS ON ELECTRON DEVICES 59 (5) 1445-1453 2012/05

    DOI: 10.1109/TED.2012.2187659  

    ISSN: 0018-9383

    eISSN: 1557-9646

  286. Integration Process Development for Improved Compatibility with Organic Non-Porous Ultralow-k Dielectric Fluorocarbon on Advanced Cu Interconnects Peer-reviewed

    Xun Gu, Yugo Tomita, Takenao Nemoto, Kotaro Miyatani, Akane Saito, Yasuo Kobayashi, Akinobu Teramoto, Rihito Kuroda, Shin-Ichiro Kuroki, Kazumasa Kawase, Toshihisa Nozawa, Takaaki Matsuoka, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 51 (5) 05EC03-1-05EC03-6 2012/05

    DOI: 10.1143/JJAP.51.05EC03  

    ISSN: 0021-4922

    eISSN: 1347-4065

  287. Changes in SiO2/Si(100) Interface Structure Induced by Forming Gas Annealing Peer-reviewed

    Tomoyuki Suwa, Yuki Kumagai, Akinobu Teramoto, Takayuki Muro, Toyohiko Kinoshita, Shigetoshi Sugawa, Takeo Hattori, Tadahiro Ohmi

    221st Meeting of The Electrochemical Society Abs.712 2012/05

  288. Recovery Characteristics of Anomalous Stress-Induced Leakage Current of 5.6nm Oxide Films Peer-reviewed

    Takuya Inatsuka, Yuki Kumagai, Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 51 (4) 04DC02-1-04DC02-6 2012/04

    DOI: 10.1143/JJAP.51.04DC02  

    ISSN: 0021-4922

    eISSN: 1347-4065

  289. Hole Mobility in Accumulation Mode Metal-Oxide-Semiconductor Field-Effect Transistors Peer-reviewed

    Philippe Gaubert, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 51 (4) 04DC07-1-04DC07-6 2012/04

    DOI: 10.1143/JJAP.51.04DC07  

    ISSN: 0021-4922

  290. High Integrity SiO2 Gate Insulator Formed by Microwave-Excited Plasma Enhanced Chemical Vapor Deposition for AlGaN/GaN Hybrid Metal-Oxide-Semiconductor Heterojunction Field-Effect Transistor on Si Substrate Peer-reviewed

    Hiroshi Kambayashi, Takehiko Nomura, Sadahiro Kato, Hirokazu Ueda, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 51 (4) 04DF03-1-04DF03-4 2012/04

    DOI: 10.1143/JJAP.51.04DF03  

    ISSN: 0021-4922

    eISSN: 1347-4065

  291. Adhesion Characteristics of Magnetron-Sputter Deposited Copper on Smooth Cycloolefin for Realizing High-Performance Printed Wiring Board Peer-reviewed

    T. Goto, T. Matsuo, M. Iwaki, K. Soeda, R. Hiratsuka, S. Sugawa, T. Ohmi

    Joint Conference of "International Conference on Electronics Packaging" and "IMAPS All Asia Conference" 488-492 2012/04

  292. 広ダイナミックレンジイメージセンサの技術動向 Peer-reviewed

    須川成利

    応用物理 81 (2) 97-101 2012/02

  293. On the Interface Flattening Effect and Gate Insulator Breakdown Characteristic of Radical Reaction Based Insulator Formation Technology Peer-reviewed

    Rihito Kuroda, Akinobu Teramoto, Xiang Li, Tomoyuki Suwa, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 51 (2) 02BA01-1-02BA01-6 2012/02

    DOI: 10.1143/JJAP.51.02BA01  

    ISSN: 0021-4922

    eISSN: 1347-4065

  294. The impact of an intermediate stage between the research stage and the development stage on the performance of integral architecture products

    Hiroki Kamoda, Shigetoshi Sugawa, Akio Nagahira

    International Journal of Product Development 16 (1) 63-76 2012

    Publisher: Inderscience Publishers

    DOI: 10.1504/IJPD.2012.047264  

    ISSN: 1741-8178 1477-9056

  295. The role of the temperature on the scattering mechanisms limiting the electron mobility in metal-oxide-semiconductor field-effect-transistors fabricated on (110) silicon-oriented wafers Peer-reviewed

    Philippe Gaubert, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    European Solid-State Device Research Conference 213-216 2012

    DOI: 10.1109/ESSDERC.2012.6343371  

    ISSN: 1930-8876

  296. Advanced Direct-Polishing Process Development of Non-Porous Ultralow-k Dielectric Fluorocarbon with Plasma Treatment on Cu Interconnects Peer-reviewed

    Xun Gu, Takenao Nemoto, Yugo Tomita, Akinobu Teramoto, Rihito Kuroda, Shigetoshi Sugawa, Tadahiro Ohmi

    JOURNAL OF THE ELECTROCHEMICAL SOCIETY 159 (4) H407-H411 2012

    DOI: 10.1149/2.049204jes  

    ISSN: 0013-4651

    eISSN: 1945-7111

  297. Photodiode dopant structure with atomically flat Si surface for high-sensitivity and stability to UV light Peer-reviewed

    Taiki Nakazawa, Rihito Kuroda, Yasumasa Koda, Shigetoshi Sugawa

    IS&T/SPIE Electronic Imaging 8298-18 2012

    DOI: 10.1117/12.907727  

    ISSN: 0277-786X

    eISSN: 1996-756X

  298. A global-shutter CMOS image sensor with readout speed of 1Tpixel/s burst and 780Mpixel/s continuous Peer-reviewed

    Yasuhisa Tochigi, Katsuhiko Hanzawa, Yuri Kato, Rihito Kuroda, Hideki Mutoh, Ryuta Hirose, Hideki Tominaga, Kenji Takubo, Yasushi Kondo, Shigetoshi Sugawa

    Digest of Technical Papers - IEEE International Solid-State Circuits Conference 55 382-383 2012

    DOI: 10.1109/ISSCC.2012.6177046  

    ISSN: 0193-6530

  299. A Test Circuit for Extremely Low Gate Leakage Current Measurement of 10 aA for 80,000 MOSFETs in 80 s Peer-reviewed

    Y. Kumagai, T. Inatsuka, R. Kuroda, A. Teramoto, T. Suwa, S. Sugawa, T. Ohmi

    2012 IEEE INTERNATIONAL CONFERENCE ON MICROELECTRONIC TEST STRUCTURES (ICMTS) 131-136 2012

    DOI: 10.1109/ICMTS.2012.6190631  

    ISSN: 1071-9032

  300. Statistical Analysis of Random Telegraph Noise Reduction Effect by Separating Channel From the Interface Peer-reviewed

    A. Yonezawa, A. Teramoto, R. Kuroda, H. Suzuki, S. Sugawa, T. Ohmi

    2012 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM (IRPS) 3B.5.1-3B.5.7 2012

    DOI: 10.1109/IRPS.2012.6241809  

  301. Electrical Properties of Silicon Nitride Using High Density and Low Plasma Damage PECVD Formed at 400 degrees C Peer-reviewed

    Y. Nakao, A. Teramoto, T. Watanabe, R. Kuroda, T. Suwa, S. Sugawa, T. Ohmi

    ECS Transactions 45 (3) 421-428 2012

    DOI: 10.1149/1.3700907  

    ISSN: 1938-5862

  302. New Metal Organic Gas Supply System by Using an Advanced Flow Control System Peer-reviewed

    M. Yamaji, S. Yamashita, A. Hidaka, M. Nagase, N. Ikeda, S. Sugawa, T. Ohmi

    ECS Transactions 45 (3) 429-435 2012

    DOI: 10.1149/1.3700908  

    ISSN: 1938-5862

  303. Low Work Function between Erbium Silicide and n-type Silicon Controlled by Cap Film Stress Peer-reviewed

    Hiroaki Tanaka, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    ECS Transactions 45 (3) 371-378 2012

    DOI: 10.1149/1.3700902  

    ISSN: 1938-5862

  304. Influence of Forming Gas Annealing on SiO2/Si(100) Interface Structures Formed Utilizing Oxygen Molecules Different From That Utilizing Oxygen Radicals Peer-reviewed

    Tomoyuki Suwa, Yuki Kumagai, Akinobu Teramoto, Takayuki Muro, Toyohiko Kinoshita, Shigetoshi Sugawa, Takeo Hattori, Tadahiro Ohmi

    ECS Transactions 45 (3) 453-460 2012

    DOI: 10.1149/1.3700911  

    ISSN: 1938-5862

    eISSN: 1938-6737

  305. Comprehensive Study on Chemical Structures of Compositional Transition Layer at SiO2/Si(100) Interface Peer-reviewed

    T. Suwa, A. Teramoto, T. Muro, T. Kinoshita, S. Sugawa, T. Hattori, T. Ohmi

    ECS Transactions 50 (4) 313-318 2012

    DOI: 10.1149/05004.0313ecst  

    ISSN: 1938-5862

  306. Effect of Erbium Silicide Crystallinity for Low Barrier Contact between Erbium Silicide and n-type Silicon Peer-reviewed

    Hiroaki Tanaka, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    ECS Transactions 50 (4) 343-348 2012

    DOI: 10.1149/05004.0343ecst  

    ISSN: 1938-5862

  307. Spatial Distribution of Properties of a-IGZO Films Deposited by Rotation Magnet Sputtering Incorporating Dual Target Structure Peer-reviewed

    Tetsuya Goto, Shigetoshi Sugawa, Tadahiro Ohmi

    IDW/AD '12: PROCEEDINGS OF THE INTERNATIONAL DISPLAY WORKSHOPS, PT 2 19 973-976 2012

    ISSN: 1883-2490

  308. Development of Direct-polish Process of CMP and Post-CMP Clean for Next Generation Advanced Cu Interconnects Peer-reviewed

    Xun Gu, Yugo Tomita, Takenao Nemoto, Akinobu Teramoto, Ricardo Duyos Mateo, Takeshi Sakai, Rihito Kuroda, Shin-Ichiro Kuroki, Shigetoshi Sugawa, Tadahiro Ohmi

    2011 International Conference on Planarization&CMP 150-157 2011/11

  309. Formation speed of atomically flat surface on Si (100) in ultra-pure argon Peer-reviewed

    Xiang Li, Akinobu Teramoto, Tomoyuki Suwa, Rihito Kuroda, Shigetoshi Sugawa, Tadahiro Ohmi

    MICROELECTRONIC ENGINEERING 88 (10) 3133-3139 2011/10

    DOI: 10.1016/j.mee.2011.06.014  

    ISSN: 0167-9317

    eISSN: 1873-5568

  310. Highly Reliable Radical SiO2 Films on Atomically Flat Silicon Surface Formed by Low Temperature Pure Ar Annealing Peer-reviewed

    Xiang Li, Rihito Kuroda, Tomoyuki Suwa, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 50 (10) 10PB05-1-10PB05-7 2011/10

    DOI: 10.1143/JJAP.50.10PB05  

    ISSN: 0021-4922

    eISSN: 1347-4065

  311. Evaluation for Anomalous Stress-Induced Leakage Current of Gate SiO2 Films Using Array Test Pattern Peer-reviewed

    Yuki Kumagai, Akinobu Teramoto, Takuya Inatsuka, Rihito Kuroda, Tomoyuki Suwa, Shigetoshi Sugawa, Tadahiro Ohmi

    IEEE TRANSACTIONS ON ELECTRON DEVICES 58 (10) 3307-3313 2011/10

    DOI: 10.1109/TED.2011.2161991  

    ISSN: 0018-9383

    eISSN: 1557-9646

  312. Large-Scale Test Circuits for High-Speed and Highly Accurate Evaluation of Variability and Noise in Metal-Oxide-Semiconductor Field-Effect Transistor Electrical Characteristics Peer-reviewed

    Yuki Kumagai, Kenichi Abe, Takafumi Fujisawa, Shunichi Watabe, Rihito Kuroda, Naoto Miyamoto, Tomoyuki Suwa, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 50 (10) 106701-1-106701-11 2011/10

    DOI: 10.1143/JJAP.50.106701  

    ISSN: 0021-4922

    eISSN: 1347-4065

  313. High power normally-off GaN MOSFET on Si substrate Peer-reviewed

    H. Kambayashi, Y. Satoh, T. Kokawa, N. Ikeda, T. Nomura, S. Kato, A. Teramoto, S. Sugawa, T. Ohmi

    220th Meeting of The Electrochemical Society Abs.2172 2011/10

  314. Process Optimization for Improved Compatibility with Organic Non-porous Low-k Dielectric Fluorocarbon on advanced Cu Interconnects Peer-reviewed

    Xun Gu, Yugo Tomita, Takenao Nemoto, Kotaro Miyatani, Akane Saito, Yasuo Kobayashi, Akinobu Teramoto, Rihito Kuroda, Shin-Ichiro Kuroki, Toshihisa Nozawa, Takaaki Matsuoka, Shigetoshi Sugawa, Tadahiro Ohmi

    Advanced Metallization Conference 2011 20-21 2011/09

  315. High Integrity SiO2 Gate Insulator Formed by Microwave-Excited PECVD for AlGaN/GaN Hybrid MOS-HFET on Si Substrate Peer-reviewed

    Hiroshi Kambayashi, Takehoko Nomura, Sadahiro, Kato, Hirokazu Ueda, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    2011 International Conference on SOLID STATE DEVICES AND MATERIALS 600-601 2011/09

  316. Recovery Characteristic of Anomalous Stress Induced Leakage Current of 5.6nm Oxide Films Peer-reviewed

    T. Inatsuka, Y. Kumagai, R. Kuroda, A. Teramoto, S. Sugawa, T. Ohmi

    2011 International Conference on SOLID STATE DEVICES AND MATERIALS 841-842 2011/09

  317. Impact of Random Telegraph Noise Reduction with Buried Channel MOSFET Peer-reviewed

    Hiroyoshi Suzuki, Rihito Kuroda, Akinobu Teramoto, Akihiro Yonezawa, Shigetoshi Sugawa, Tadahiro Ohmi

    2011 International Conference on SOLID STATE DEVICES AND MATERIALS 851-852 2011/09

  318. On the Si Surface Flattening Effect and Gate Insulator Breakdown Characteristic of Radical Reaction Based Insulator Formation Technology Peer-reviewed

    Rihito Kuroda, Akinobu Teramoto, Xiang Li, Tomoyuki Suwa, Shigetoshi Sugawa, Tadahiro Ohmi

    2011 International Conference on SOLID STATE DEVICES AND MATERIALS 903-904 2011/09

  319. High Quality and Low Thermal Budget Silicon Nitride Deposition Using PECVD for Gate Spacer, Silicide Block and Contact Etch Stopper Peer-reviewed

    Y. Nakao, R. Kuroda, H. Tanaka, A. Teramoto, S. Sugawa, T. Ohmi

    2011 International Conference on SOLID STATE DEVICES AND MATERIALS 905-906 2011/09

  320. Clear Difference between Chemical Structure of SiO2/Si Interface Formed Using Oxygen Radicals and That Formed Using Oxygen Molecules Peer-reviewed

    Tomoyuki Suwa, Yuki Kumagai, Akinobu Teramoto, Takayuki Muro, Toyohiko Kinoshita, Shigetoshi Sugawa, Takeo Hattori, Tadahiro Ohmi

    2011 International Conference on SOLID STATE DEVICES AND MATERIALS 22-23 2011/09

  321. Evidence of the universality of the hole mobility in accumulation MOS transistors Peer-reviewed

    Philippe Gaubert, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    2011 International Conference on SOLID STATE DEVICES AND MATERIALS 114-115 2011/09

  322. Highly Ultraviolet Light Sensitive and Highly Reliable Photodiode with Atomically Flat Si Surface Peer-reviewed

    Rihito Kuroda, Taiki Nakazawa, Katsuhiko Hanzawa, Shigetoshi Sugawa

    2011 International Image Sensor Workshop 38-41 2011/06/08

  323. Tribological Effects of Brush Scrubbing in Post Chemical Mechanical Planarization Cleaning on Electrical Characteristics in Novel Non-porous Low-$k$ Dielectric Fluorocarbon on Cu Interconnects

    Gu Xun, Nemoto Takenao, Tomita Yugo, Teramoto Akinobu, Sugawa Shigetoshi, Ohmi Tadahiro

    Jpn J Appl Phys 50 (5) 05EC07-05EC07-6 2011/05/25

    Publisher: The Japan Society of Applied Physics

    DOI: 10.1143/JJAP.50.05EC07  

    ISSN: 0021-4922

    More details Close

    Damage reduction during planarization is strongly required to avoid scratch generation and the variation in the electrical properties of low-$k$ dielectrics leading to yield loss in an integrated circuit after the implementation of an ultralow-$k$ dielectric in Cu damascene interconnects. An optimum process condition to reduce damage on brush scrubbing in post-chemical--mechanical-planarization (post-CMP) cleaning was proposed for advanced nonporous organic ultralow-$k$ dielectric fluorocarbon/Cu interconnects. Increasing brush rotation rate by decreasing down pressures results in the improvement in both electric properties and particle removal efficiency. The tribological effects of brush scrubbing in post-CMP cleaning on the electrical characteristics were explored. The brush scrubbing condition of a high brush rotation rate at low down pressures contributes to the suppression of damage generation.

  324. Electrical Characteristics of Novel Non-porous Low-k Dielectric Fluorocarbon on Cu Interconnects for 22 nm Generation and Beyond Peer-reviewed

    Xun Gu, Takenao Nemoto, Yugo Tomita, Akihide Shirotori, Kotaro Miyatani, Akane Saito, Yasuo Kobayashi, Akinobu Teramoto, Shin-Ichiro Kuroki, Toshihisa Nozawa, Takaaki Matsuoka, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 50 (5) 05EB02-1-05EB02-5 2011/05

    DOI: 10.1143/JJAP.50.05EB02  

    ISSN: 0021-4922

    eISSN: 1347-4065

  325. Analysis of the Low-Frequency Noise Reduction in Si(100) Metal-Oxide-Semiconductor Field-Effect Transistors Peer-reviewed

    Philippe Gaubert, Akinobu Teramoto, Rihito Kuroda, Yukihisa Nakao, Hiroaki Tanaka, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 50 (4) 04DC01-1-04DC01-6. 2011/04

    DOI: 10.1143/JJAP.50.04DC01  

    ISSN: 0021-4922

    eISSN: 1347-4065

  326. Impact of Channel Direction Dependent Low Field Hole Mobility on (100) Orientation Silicon Surface Peer-reviewed

    Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 50 (4) 04DC03-1-04DC03-6 2011/04

    DOI: 10.1143/JJAP.50.04DC03  

    ISSN: 0021-4922

    eISSN: 1347-4065

  327. Tribological and Kinetical Analysis of Barrier Metal Polishing for Next Generation Copper Interconnects Peer-reviewed

    Ricardo Duyos-Mateo, Xun Gu, Shigetoshi Sugawa, Takenao Nemoto, Yun Zhuang, Yasa Sampurno, Ara Philipossian, Tadahiro Ohmi

    China Semiconductor Technology International Conference 2011 (CSTIC 2011) 2011/03

  328. Tribological and Kinetical Analysis of Barrier Metal Polishing for Next Generation Copper Interconnects Peer-reviewed

    R. Duyos Mateo, X.Gu, T. Nemoto, S. Sugawa, Y. Zhuang, Y. Sampurno, A. Philipossian, T. Ohmi

    ECS Transactions 34 (1) 627-632 2011/03

    DOI: 10.1149/1.3567649  

    ISSN: 1938-5862

  329. 技術成果を製品開発ステージにつなげるための技術開発マネジメント Peer-reviewed

    加守田裕樹, 須川成利

    日本MOT学会『技術と経済』 2 42-48 2011/02

  330. High power normally-off GaN MOSFET Invited Peer-reviewed

    H. Kambayashi, Y. Satoh, T. Kokawa, N. Ikeda, T. Nomura, S. Kato, A. Teramoto, S. Sugawa, T. Ohmi

    ECS Transactions 41 (8) 87-100 2011

    DOI: 10.1149/1.3631488  

    ISSN: 1938-5862

  331. Different properties of erbium silicides on Si (100) and Si (551) orientation surfaces Peer-reviewed

    H. Tanaka, A. Teramoto, R. Kuroda, Y. Nakao, T. Suwa, S. Sugawa, T. Ohmi

    220th Meeting of The Electrochemical Society 2159 2011

  332. Gate SiO2 Film Integrity on Ultra-Pure Argon Anneal (100) Silicon Surface Peer-reviewed

    A. Teramoto, X. Li, R. Kuroda, T. Suwa, S. Sugawa, T. Ohmi

    220th Meeting of The Electrochemical Society 2123 2011

  333. Advanced Direct-polish Process on Organic Non-porous Ultra Low-k Fluorocarbon Dielectric on Cu Interconnects Peer-reviewed

    Xun Gu, Takenao Nemoto, Yugo Tomita, Ricardo Duyos Mateo, Akinobu Teramoto, Shin-Ichiro Kuroki, Shigetoshi Sugawa, Tadahiro Ohmi

    ECS Transacions 34 (1) 653-658 2011

  334. Dependence of the Decomposition of Trimethylaluminum on Oxygen Concentration Peer-reviewed

    Satoru Yamashita, Kohei Watanuki, Hidekazu Ishii, Yoshinobu Shiba, Masafumi Kitano, Yasuyuki Shirai, Shigetoshi Sugawa, Tadahiro Ohmi

    JOURNAL OF THE ELECTROCHEMICAL SOCIETY 158 (2) H93-H96 2011

    DOI: 10.1149/1.3517080  

    ISSN: 0013-4651

    eISSN: 1945-7111

  335. High reliable SiO2 Films on Atomically Flat Silicon Surface Formed by Low Temperature Pure Ar Annealing Peer-reviewed

    X. Li, R. Kuroda, T. Suwa, A. Teramoto, S. Sugawa, T. Ohmi

    Extend Abstracts of 2011 Interanational Workshop on Dielectric Thin Films For Future Electron Devices: Science and Technology (IWDTF) 107-108 2011/01

  336. A prototype high-speed CMOS image sensor with 10,000,000 fps burst-frame rate and 10,000 fps continuous-frame rate Peer-reviewed

    Yasuhisa Tochigi, Katsuhiko Hanzawa, Yuri Kato, Nana Akahane, Rihito Kuroda, Shigetoshi Sugawa

    IS&T/SPIE Electronic Imaging 78760G-1-78760G-8 2011

    DOI: 10.1117/12.872207  

    ISSN: 0277-786X

  337. A robust color signal processing with wide dynamic range WRGB CMOS image sensor Peer-reviewed

    Shun Kawada, Rihito Kuroda, Shigetoshi Sugawa

    IS&T/SPIE Electronic Imaging 78760W-1-78760W-8 2011

    DOI: 10.1117/12.872285  

    ISSN: 0277-786X

  338. Advanced Direct-polish Process on Organic Non-porous Ultra Low-k Fluorocarbon Dielectric on Cu Interconnects Peer-reviewed

    Xun Gu, Takenao Nemoto, Yugo Tomita, Ricardo Duyos Mateo, Akinobu Teramoto, Shin-Ichiro Kuroki, Shigetoshi Sugawa, Tadahiro Ohmi

    CHINA SEMICONDUCTOR TECHNOLOGY INTERNATIONAL CONFERENCE 2011 (CSTIC 2011) 34 (1) 653-658 2011

    DOI: 10.1149/1.3567653  

    ISSN: 1938-5862

  339. Understanding of Traps Causing Random Telegraph Noise Based on Experimentally Extracted Time Constants and Amplitude Peer-reviewed

    Kenichi Abe, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    2011 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM (IRPS) A4.4.1-A4.4.6 2011

  340. Cu Damascene Interconnects with an Organic Low-k Fluorocarbon Dielectric Deposited by Microwave Excited Plasma Enhanced CVD Peer-reviewed

    X. Gu, T. Nemoto, Y. Tomita, A. Shirotori, R. Duyos-Mateo, K. Miyatani, A. Saito, Y. Kobayashi, A. Teramoto, S. Kuroki, T. Nozawa, T. Matsuoka, S. Sugawa, T. Ohmi

    2011 IEEE INTERNATIONAL INTERCONNECT TECHNOLOGY CONFERENCE AND MATERIALS FOR ADVANCED METALLIZATION (IITC/MAM) Poster No. 44 2011

    DOI: 10.1109/IITC.2011.5940345  

  341. Gate SiO2 Film Integrity on Ultra-Pure Argon Anneal (100) Silicon Surface Peer-reviewed

    Akinobu Teramoto, Xiang Li, Rihito Kuroda, Tomoyuki Suwa, Shigetoshi Sugawa, Tadahiro Ohmi

    ECS Transacions 41 (7) 147-156 2011

    DOI: 10.1149/1.3633294  

    ISSN: 1938-5862

  342. Different Properties of Erbium Silicides on Si(100) and Si(551) Orientation Surfaces Peer-reviewed

    Hiroaki Tanaka, Akinobu Teramoto, Rihito Kuroda, Yukihisa Nakao, Tomoyuki Suwa, Kazumasa Kawase, Shigetoshi Sugawa, Tadahiro Ohmi

    ECS Transactions 41 (7) 365-373 2011

    DOI: 10.1149/1.3633317  

    ISSN: 1938-5862

    eISSN: 1938-6737

  343. Tribological Study of Brush Scrubbing in Post-Chemical Mechanical Planarization Cleaning in Non-porous Ultralow-k Dielectric/Cu Interconnects Peer-reviewed

    Xun Gu, Takenao Nemoto, Akinobu Teramoto, Misa Sakuragi, Shigetoshi Sugawa, Tadahiro Ohmi

    JOURNAL OF THE ELECTROCHEMICAL SOCIETY 158 (11) H1145-H1151 2011

    DOI: 10.1149/2.046111jes  

    ISSN: 0013-4651

    eISSN: 1945-7111

  344. 横型オーバフロー蓄積容量を用いたCMOSイメージセンサの画素縮小化技術 Peer-reviewed

    酒井伸, 田代睦聡, 川田俊, 須川成利

    映像情報メディア学会誌 64 (12) 1944-1950 2010/12

    DOI: 10.3169/itej.64.1944  

  345. Electrical Properties of Metal-Oxide-Containing SiO2 Films Formed by Organosiloxane Sol-Gel Precursor Peer-reviewed

    Kohei Watanuki, Atsutoshi Inokuchi, Akinori Banba, Hirokazu Suzuki, Tadashi Koike, Tatsuhiko Adachi, Tetsuya Goto, Akinobu Teramoto, Yasuyuki Shirai, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 49 (11) 111503-1-111503-5 2010/11

    DOI: 10.1143/JJAP.49.111503  

    ISSN: 0021-4922

  346. Tribological, Thermal and Kinetic Studies of Ti and TiN CMP Peer-reviewed

    Ricardo Duyos-Mateo, Xun Gu, Takenao Nemoto, Yun Zhuang, Zhenxing Han, Yasa Sampurno, Ara Philipossian, Shigetoshi Sugawa, Tadahiro Ohmi

    2010 International Conference on Planarization/CMP Technology, (2010) 13-18 2010/11

  347. Development of Direct-polish Process on Non-porous Ultra Low-k Dielectric/Cu Interconnects for 22nm Generation and Beyond Peer-reviewed

    Xun Gu, Takenao Nemoto, Yugo Tomita, Ricardo Duyos Mateo, Shigetoshi Sugawa, Tadahiro Ohmi

    2010 International Conference on Planarization/CMP Technology 51-54 2010/11

  348. Dependence of Thermal Decomposition of Metal Organic Gases on Metal Surface for Gas Distribution System Peer-reviewed

    Satoru Yamashita, Kohei Watanuki, Hidekazu Ishii, Yoshinobu Shiba, Masafumi Kitano, Yasuyuki Shirai, Shigetoshi Sugawa, Tadahiro Ohmi

    218th Meeting of the Electrochemical Society 1771 2010/10

  349. Evaluation of Narrow Gap Filling Ability in Shallow Trench Isolation by Organosiloxane Sol-Gel Precursor Peer-reviewed

    Kohei Watanuki, Atsutoshi Inokuchi, Akinori Banba, Nobuyuki Manabe, Hirokazu Suzuki, Tadashi Koike, Tatsuhiko Adachi, Tetsuya Goto, Akinobu Teramoto, Yasuyuki Shirai, Shigetoshi Sugawa, Tadahiro Ohmi

    218th Meeting of The Electrochemical Society 1498 2010/10

  350. Electrical Characteristics of Novel Non-porous Low-k Dielectric Fluorocarbon on Cu Interconnects for 22nm Generation and Beyond Peer-reviewed

    Xun Gu, Takenao Nemoto, Yugo Tomita, Kotaro Miyatani, Akane Saito, Yasuo Kobayashi, Akinobu Teramoto, Shin-Ichiro Kuroki, Toshihisa Nozawa, Takaaki Matsuoka, Shigetoshi Sugawa, Tadahiro Ohmi

    Advanced Metallization Conference 2010 54-55 2010/10

  351. Tribological Effects of Brush Scrubbing in Post-CMP Cleaning on the Electrical Characteristics in the Novel Non-porous Low-k Dielectric on Cu Interconnects Peer-reviewed

    Xun Gu, Takenao Nemoto, Yugo Tomita, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    Advanced Metallization Conference 2010 160-161 2010/10

  352. Large Scale Test Circuits for Systematic Evaluation of Variability and Noise of MOSFETs’ Electrical Characteristics Peer-reviewed

    Y. Kumagai, K. Abe, T. Fujisawa, S. Watabe, R. Kuroda, N. Miyamoto, T. Suwa, A. Teramoto, S. Sugawa, T. Ohmi

    2010 International Conference on SOLID STATE DEVICES AND MATERIALS 804-805 2010/09/23

  353. Impact of Channel Direction Dependent Low Field Hole Mobility on Si(100) Peer-reviewed

    Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    2010 International Conference on SOLID STATE DEVICES AND MATERIALS 51-52 2010/09/22

  354. グローバルディスクリート事業展開におけるキャッシュフローに影響を与える要因分析 Peer-reviewed

    立石文雄, 倉橋正志, 中村正, 水本智也, 中塚信雄, 須川成利

    (523) 48-56 2010/09

    Publisher:

    ISSN: 0285-9912

  355. Statistical Evaluation of Process Damage Using an Arrayed Test Pattern in a Large Number of MOSFETs Peer-reviewed

    Shunichi Watabe, Akinobu Teramoto, Kenichi Abe, Takafumi Fujisawa, Naoto Miyamoto, Shigetoshi Sugawa, Tadahiro Ohmi

    IEEE TRANSACTIONS ON ELECTRON DEVICES 57 (6) 1310-1318 2010/06

    DOI: 10.1109/TED.2010.2046080  

    ISSN: 0018-9383

  356. High Integrity Gate Insulator Films on Atomically Flat Silicon Surface Peer-reviewed

    X. Li, R. Kuroda, T. Suwa, A. Teramoto, S. Sugawa, T. Ohmi

    2010 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices, 110 (109) 183-188 2010/06

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    A low temperature atomically flattening technology for Si(100) wafer is developed. By annealing in ultra pure argon ambient at 800℃, atomically flat surfaces composed of atomic terraces and steps appear uniformly in the whole 200 mm wafer without generating slip line defects. Moreover, the whole 200 mm wafer surface can be atomically flattened in shorter time by increasing the argon gas flow rate and the annealing temperature of vertical furnace. Furthermore, the MOS capacitors with the atomically flat gate oxide/Si interface formed by radical oxidation on the flattened surface show superior insulating properties such as higher E_<bd> and Q_<bd>.

  357. High Current Drivability FD-SOI CMOS with Low Source/Drain Series Resistance Peer-reviewed

    Yukihisa NAKAO, Rihito KURODA, Hiroaki TANAKA, Akinobu TERAMOTO, Shigetoshi SUGAWA, Tadahiro OHMI

    2010 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 110 (110) 303-308 2010/06

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    A high current drivability CMOS on Si(100) with a low Source/Drain series resistance is demonstrated using a fully-depleted silicon-on-insulator (FD-SOI) technology. The pMOS current drivability is improved by an introduction of the accumulation-mode device structure. Also, the contact resistivity as well as, the sheet resistance of the Source/Drain (S/D) electrodes are reduced to 6.9 x 10^<-9> Ω・cm^2 for n^+-Si and 8.0 x 10^<-10> Ω・cm^2 for p^+-Si and 5 Ω/sheet due to an introduction of work function optimized silicides for nMOS and pMOS, and a metal/silicide/Si stack structure, respectively. As a result, current drivability of 833 μA/μm and 661 μA/μm for nMOS and pMOS are obtained for around 100 nm gate length device size.

  358. The Growth of Thin Silicon Oxide and Silicon Nitride Films at Low Temperature (400 degrees C) and High Growth Rates for Semiconductor Device Fabrication by an Advanced Low Electron Temperature Microwave-Excited High-Density Plasma System Peer-reviewed

    Yuji Saito, Katsuyuki Sekine, Ryu Kaihara, Masaki Hirayama, Shigetoshi Sugawa, Herzl Aharoni, Tadahiro Ohmi

    IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING 23 (2) 328-339 2010/05

    DOI: 10.1109/TSM.2010.2045582  

    ISSN: 0894-6507

  359. Impact of work function optimized S/D silicide contact for high current drivability CMOS Peer-reviewed

    Y. Nakao, R. Kuroda, H. Tanaka, T. Isogai, A. Teramoto, S. Sugawa, T. Ohmi

    217th Meeting of The Electrochemical Society 0949. 2010/04

  360. Angle-resolved phototelectron study on the structures of silicon nitride films and Si(3)N(4)/Si interfaces formed using nitrogen-hydrogen radicals (vol 104, 114112, 2008) Peer-reviewed

    Takashi Aratani, Masaaki Higuchi, Shigetoshi Sugawa, Eiji Ikenaga, Jiro Ushio, Hiroshi Nohira, Tomoyuki Suwa, Akinobu Teramoto, Tadahiro Ohimi, Takeo Hattori

    JOURNAL OF APPLIED PHYSICS 107 (6) 2010/03

    DOI: 10.1063/1.3366705  

    ISSN: 0021-8979

  361. 画素容量・列容量電荷電圧変換を組合せた多重露光線形応答広ダイナミックレンジCMOSイメージセンサ Peer-reviewed

    井出典子, 赤羽奈々, 須川成利

    映像情報メディア学会誌 64 (3) 335-342 2010/03

    DOI: 10.3169/itej.64.335  

  362. Novel end-point detection method by monitoring shear force oscillation frequency for barrier metal polishing in advanced LSI

    Xun Gu, Takenao Nemoto, Yasa Sampurno, Jiang Cheng, Sian Nie Theng, Ara Philipossian, Yun Zhuang, Akinobu Teramoto, Takashi Ito, Shigetoshi Sugawa, Tadahiro Ohmi

    Materials Research Society Symposium Proceedings 1157 157-162 2010/02

    ISSN: 0272-9172

  363. Experiment and Device Simulation for Photo-Electron Overflow Characteristics on a Pixel-Shared CMOS Image Sensor Using Lateral Overflow Gate Peer-reviewed

    Shin Sakai, Yoshiaki Tashiro, Lei Hou, Shigetoshi Sugawa

    IS&T / SPIE Electronic Imaging 7536 75360K-1-75360K-8 2010

    DOI: 10.1117/12.838765  

    ISSN: 0277-786X

  364. Checker-Pattern and Shared Two Pixels LOFIC CMOS Image Sensors Peer-reviewed

    Yoshiaki Tashiro, Shun Kawada, Shin Sakai, Shigetoshi Sugawa

    2010 15TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC 2010) 343-344 2010

    DOI: 10.1109/ASPDAC.2010.5419872  

  365. A CMOS Image Sensor With 2.0-e(-) Random Noise and 110-ke(-) Full Well Capacity Using Column Source Follower Readout Circuits Peer-reviewed

    Takahiro Kohara, Woonghee Lee, Koichi Mizobuchi, Shigetoshi Sugawa

    2010 15TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC 2010) 345-346 2010

    ISSN: 2153-6961

  366. Checkered White-RGB Color LOFIC CMOS Image Sensor Peer-reviewed

    Shun Kawada, Shin Sakai, Yoshiaki Tashiro, Shigetoshi Sugawa

    2010 15TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC 2010) 347-348 2010

    DOI: 10.1109/ASPDAC.2010.5419870  

  367. A Test Structure for Statistical Evaluation of pn Junction Leakage Current Based on CMOS Image Sensor Technology Peer-reviewed

    Kenichi Abe, Takafumi Fujisawa, Hiroyoshi Suzuki, Shunichi Watabe, Rihito Kuroda, Shigetoshi Sugawa, Akinobu Teramoto, Tadahiro Ohmi

    2010 INTERNATIONAL CONFERENCE ON MICROELECTRONIC TEST STRUCTURES, 23RD IEEE ICMTS CONFERENCE PROCEEDINGS 18-22 2010

    DOI: 10.1109/ICMTS.2010.5466868  

    ISSN: 1071-9032

  368. A Complementary Metal-Oxide-Semiconductor Image Sensor with 2.0 e(-) Random Noise and 110 ke(-) Full Well Capacity and Noise Measurement of Pixel Transistors Using Column Source Follower Readout Circuits Peer-reviewed

    Takahiro Kohara, Woonghee Lee, Koichi Mizobuchi, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 49 (4) 04DE02-1-04DE02-5 2010

    DOI: 10.1143/JJAP.49.04DE02  

    ISSN: 0021-4922

  369. Pixel Scaling in Complementary Metal Oxide Silicon Image Sensor with Lateral Overflow Integration Capacitor Peer-reviewed

    Shin Sakai, Yoshiaki Tashiro, Shun Kawada, Rihito Kuroda, Nana Akahane, Koichi Mizobuchi, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 49 (4) 04DE03-1-04DE03-6 2010

    DOI: 10.1143/JJAP.49.04DE03  

    ISSN: 0021-4922

    eISSN: 1347-4065

  370. White-Red-Green-Blue Lateral Overflow Integration Capacitor Complementary Metal-Oxide-Semiconductor Image Sensor with Color-Independent Exposure and Widely-Spectral High Sensitivity Peer-reviewed

    Shun Kawada, Shin Sakai, Yoshiaki Tashiro, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 49 (4) 04DE04-1-04DE04-4 2010

    DOI: 10.1143/JJAP.49.04DE04  

    ISSN: 0021-4922

  371. Low Contact Resistivity with Low Silicide/p(+)-Silicon Schottky Barrier for High-Performance p-Channel Metal-Oxide-Silicon Field Effect Transistors Peer-reviewed

    Hiroaki Tanaka, Tatsunori Isogai, Tetsuya Goto, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 49 (4) 04DA03-1-04DA03-5 2010

    DOI: 10.1143/JJAP.49.04DA03  

    ISSN: 0021-4922

  372. Analysis of Hundreds of Time Constant Ratios and Amplitudes of Random Telegraph Signal with Very Large Scale Array Test Pattern Peer-reviewed

    Takafumi Fujisawa, Kenichi Abe, Shunichi Watabe, Naoto Miyamoto, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 49 (4) 04DC06-1-04DC06-5 2010

    DOI: 10.1143/JJAP.49.04DC06  

    ISSN: 0021-4922

  373. Experimental Investigation of Effect of Channel Doping Concentration on Random Telegraph Signal Noise Peer-reviewed

    Kenichi Abe, Akinobu Teramoto, Shunichi Watabe, Takafumi Fujisawa, Shigetoshi Sugawa, Yutaka Kamata, Katsuhiko Shibusawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 49 (4) 04DC07-1-04DC07-5 2010

    DOI: 10.1143/JJAP.49.04DC07  

    ISSN: 0021-4922

  374. Atomically Flattening Technology at 850 degrees C for Si(100) Surface Peer-reviewed

    X. Li, T. Suwa, A. Teramoto, R. Kuroda, S. Sugawa, T. Ohmi

    ADVANCED GATE STACK, SOURCE/DRAIN, AND CHANNEL ENGINEERING FOR SI-BASED CMOS 6: NEW MATERIALS, PROCESSES, AND EQUIPMENT 28 (1) 299-309 2010

    DOI: 10.1149/1.3375615  

    ISSN: 1938-5862

  375. Impact of Work Function Optimized S/D Silicide Contact for High Current Drivability CMOS Peer-reviewed

    Y. Nakao, R. Kuroda, H. Tanaka, T. Isogai, A. Teramoto, S. Sugawa, T. Ohmi

    ADVANCED GATE STACK, SOURCE/DRAIN, AND CHANNEL ENGINEERING FOR SI-BASED CMOS 6: NEW MATERIALS, PROCESSES, AND EQUIPMENT 28 (1) 315-324 2010

    DOI: 10.1149/1.3375617  

    ISSN: 1938-5862

  376. Atomically Flattening Technology at 850 degrees C for Si(100) Surface Peer-reviewed

    X. Li, T. Suwa, A. Teramoto, R. Kuroda, S. Sugawa, T. Ohmi

    217th Meeting of The Electrochemical Society 0951 2010

    DOI: 10.1149/1.3375615  

    ISSN: 1938-5862

  377. Statistical Evaluation of Dynamic Junction Leakage Current Fluctuation Using a Simple Arrayed Capacitors Circuit Peer-reviewed

    Kenichi Abe, Takafumi Fujisawa, Hiroyoshi Suzuki, Shunichi Watabe, Rihito Kuroda, Shigetoshi Sugawa, Akinobu Teramoto, Tadahiro Ohmi

    2010 INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM 683-688 2010

    DOI: 10.1109/IRPS.2010.5488751  

    ISSN: 1541-7026

  378. Statistical Evaluation for Trap Energy Level of RTS Characteristics Peer-reviewed

    A. Teramoto, T. Fujisawa, K. Abe, S. Sugawa, T. Ohmi

    2010 SYMPOSIUM ON VLSI TECHNOLOGY, DIGEST OF TECHNICAL PAPERS 99-100 2010

    DOI: 10.1109/VLSIT.2010.5556186  

  379. Depth Profile of Nitrogen Atoms in Silicon Oxynitride Films Formed by Low-Electron-Temperature Microwave Plasma Nitridation Peer-reviewed

    Shigemi Murakawa, Shu-ichi Ishizuka, Toshio Nakanishi, Tomoyuki Suwa, Akinobu Teramoto, Shigetoshi Sugawa, Takeo Hattori, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 49 (9) 091301-1-091301-8 2010

    DOI: 10.1143/JJAP.49.091301  

    ISSN: 0021-4922

    eISSN: 1347-4065

  380. Evaluation of Narrow Gap Filling Ability in Shallow Trench Isolation by Organosiloxane Sol-Gel Precursor Peer-reviewed

    Kohei Watanuki, Atsutoshi Inokuchi, Akinori Banba, Nobuyuki Manabe, Hirokazu Suzuki, Tadashi Koike, Tatsuhiko Adachi, Tetsuya Goto, Akinobu Teramoto, Yasuyuki Shirai, Shigetoshi Sugawa, Tadahiro Ohmi

    ECS Transactions 33 (3) 135-143 2010

    DOI: 10.1149/1.3481600  

    ISSN: 1938-5862

  381. Dependence of Thermal Decomposition of Metal Organic Gases on Metal Surface for Gas Distribution System Peer-reviewed

    S. Yamashita, K. Watanuki, H. Ishii, Y. Shiba, M. Kitano, Y. Shirai, S. Sugawa, T. Ohmi

    ECS Transactions 33 (13) 121-128 2010

    DOI: 10.1149/1.3485612  

    ISSN: 1938-5862

  382. Ultra-low Series Resistance W/ErSi2/n(+)-Si and W/Pd2Si/p(+)-Si S/D Electrodes for Advanced CMOS Platform Peer-reviewed

    Rihito Kuroda, Hiroaki Tanaka, Yukihisa Nakao, Akinobu Teramoto, Naoto Miyamoto, Shigetoshi Sugawa, Tadahiro Ohmi

    2010 INTERNATIONAL ELECTRON DEVICES MEETING - TECHNICAL DIGEST 580-583 2010

    DOI: 10.1109/IEDM.2010.5703425  

    ISSN: 2380-9248

  383. Qualification of Dynamic Pressure Distribution on Wafer by Pressure Sensing Sheet during Polishing Peer-reviewed

    Xun Gu, Takenao Nemoto, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    International Conference on Planarization/CMP Technology 2009 22-27 2009/11

  384. Highly Accurate Management in Dynamically Changing Fab Peer-reviewed

    Kazunori Imaoka, Yoshihiro Ishii, Tsuyoshi Kikuchi, Shigetoshi Sugawa, Akio Nagahira

    IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING 22 (4) 482-490 2009/11

    DOI: 10.1109/TSM.2009.2031773  

    ISSN: 0894-6507

    eISSN: 1558-2345

  385. A 1.9 e(-) Random Noise CMOS Image Sensor With Active Feedback Operation in Each Pixel Peer-reviewed

    Woonghee Lee, Nana Akahane, Satoru Adachi, Koichi Mizobuchi, Shigetoshi Sugawa

    IEEE TRANSACTIONS ON ELECTRON DEVICES 56 (11) 2436-2445 2009/11

    DOI: 10.1109/TED.2009.2030644  

    ISSN: 0018-9383

  386. Optimum Design of Conversion Gain and Full Well Capacity in CMOS Image Sensor With Lateral Overflow Integration Capacitor Peer-reviewed

    Nana Akahane, Satoru Adachi, Koichi Mizobuchi, Shigetoshi Sugawa

    IEEE TRANSACTIONS ON ELECTRON DEVICES 56 (11) 2429-2435 2009/11

    DOI: 10.1109/TED.2009.2030550  

    ISSN: 0018-9383

  387. Three-Dimensional Wave Optical Simulation for Image Sensors by Localized Boundary Element Method Peer-reviewed

    Hideki Mutoh, Shigetoshi Sugawa

    IEEE TRANSACTIONS ON ELECTRON DEVICES 56 (11) 2473-2480 2009/11

    DOI: 10.1109/TED.2009.2030549  

    ISSN: 0018-9383

  388. The Study of Electrical and Structual Properties of SiO2 Film Containing Metal oxide using Organosiloxane-based Silica Precursor Peer-reviewed

    K. WATANUKI, A. INOKUCHI, A. BAMBA, H. SUZUKI, T. KOIKE, T. ADACHI, A. TERAMOTO, Y. SHIRAI, S. SUGAWA, T. OHMI

    AVS 56th International Symposium & Exhibition 81 2009/11

  389. Pixel Scaling in CMOS Image Sensors with Lateral Overflow Integration Capacitor Peer-reviewed

    Yoshiaki Tashiro, Shin Sakai, Shun Kawada, Rihito Kuroda, Nana Akahane, Koichi Mizobuchi, Shigetoshi Sugawa

    International Conference on Solid State Devices and Materials 1062-1063 2009/10

  390. WRGB LOFIC CMOS Image Sensor with Color-Independent Exposure and Widely-Spectral High Sensitivity Peer-reviewed

    Shun Kawada, Shin Sakai, Yoshiaki Tashiro, Shigetoshi Sugawa

    International Conference on Solid State Devices and Materials 1064-1065 2009/10

  391. Random Telegraph Signal and Flicker Noise in CMOS Image Sensor using Column Source Follower Readout Circuits Peer-reviewed

    Takahiro Kohara, Woonghee Lee, Koichi Mizobuchi, Shigetoshi Sugawa

    International Conference on Solid State Devices and Materials 1074-1075 2009/10

  392. Statistical Analysis of Time Constant Ratio of Random Telegraph Signal with Very Large-Scale Array TEG Peer-reviewed

    T. Fujisawa, K. Abe, S. Watabe, N. Miyamoto, A. Teramoto, S. Sugawa, T. Ohmi

    International Conference on Solid State Devices and Materials 28-29 2009/10

  393. Impact of Channel Doping Concentration on Random Telegraph Signal Noise Peer-reviewed

    K. Abe, A. Teramoto, S. Watabe, T. Fujisawa, S. Sugawa, H. Kamata, K. Shibusawa, T. Ohmi

    International Conference on Solid State Devices and Materials 30-31 2009/10

  394. Impact of Very Low Series Resistance due to Raised Metal S/D Structure with Very Low Contact Resistance Silicide for sub-100-nm nMOSFET Peer-reviewed

    Rihito Kuroda, Tatsunori Isogai, Hiroaki Tanaka, Yukihisa Nakao, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    International Conference on Solid State Devices and Materials 994-995 2009/10

  395. Suppression of Vth Variability for n-MOSFET in Dual Oxide Formation Process Peer-reviewed

    H. Kamata, K. Shibusawa, K. Abe, S. Sugawa, A. Teramoto, T. Ohmi

    International Conference on Solid State Devices and Materials 378-379 2009/10

  396. Low Contact Resistance with Low Silicide/p+-Silicon Schottky Barrier for High Performance p-channel MOSFETs Peer-reviewed

    Hiroaki Tanaka, Tatsunori Isogai, Tetsuya Goto, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    International Conference on Solid State Devices and Materials 323-333 2009/10

  397. Improving the Performance of MOSFET’s through their Exposure to Hydrogen Radicals/Inert Gas Plasma Mixture Peer-reviewed

    Yuji Saito, Hiroshi Takahashi, Kazuo Ohtsubo, Masaki Hirayama, Shigetoshi Sugawa, Herzl Aharoni, Tadahiro Ohmi

    28th ISRAEL VACUUM SOCIETY ANNUAL CONFERENCE AND TECHNICAL WORKSHOP P-SU-10 2009/10

  398. The Growth of Polyoxide Thin Films at 400oC by Oxygen Radicals Peer-reviewed

    Tatsufumi Hamada, Yuji Saito, Geun-Min Choi, Shigetoshi Sugawa, Herzl Aharoni, Tadahiro Ohmi

    28th ISRAEL VACUUM SOCIETY ANNUAL CONFERENCE AND TECHNICAL WORKSHOP P-SU-11 2009/10

  399. フラッシュメモリーにおける新しい信頼性評価技術 Peer-reviewed

    須川成利

    応用物理 78 (9) 897-901 2009/09

  400. Mobile-Ion-Induced Charge Loss Failure in Silicon-Oxide-Nitride-Oxide-Silicon Two-Bit Storage Flash Memory Peer-reviewed

    Kazunori Imaoka, Masahiko Higashi, Hidehiko Shiraiwa, Fumihiko Inoue, Tatsuya Kajita, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 48 (6) 066510-1-066510-6 2009/06

    DOI: 10.1143/JJAP.48.066510  

    ISSN: 0021-4922

  401. 4.5um Pixel Pitch 154 ke- Full Well Capacity CMOS Image Sensor Peer-reviewed

    Koichi Mizobuchi, Satoru Adachi, Nana Akahane, Hirokazu Sawada, Katsumi Ohta, Hiromichi Oshikubo, Shigetoshi Sugawa

    International Image Sensor Workshop 101-104 2009/06

  402. High-Frequency Propagation on Printed Circuit Board Using a Material With a Low Dielectric Constant, a Low Dielectric Loss, and a Flat Surface Peer-reviewed

    Hiroshi Imai, Masahiko Sugimura, Masafumi Kawasaki, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    IEEE TRANSACTIONS ON COMPONENTS AND PACKAGING TECHNOLOGIES 32 (2) 415-423 2009/06

    DOI: 10.1109/TCAPT.2008.2004791  

    ISSN: 1521-3331

  403. A Statistical Analysis of Distributions of RTS Characteristics by Wide-Range Sampling Frequencies Peer-reviewed

    Kenichi Abe, Takafumi Fujisawa, Akinobu Teramoto, Shunichi Watabe, Shigetoshi Sugawa, Tadahiro Ohmi

    2009 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 1A.8 2009/06

  404. MOS Transistors fabricated on Si(551) surface based on radical reaction processes Peer-reviewed

    A. Teramoto, W. Cheng, C.F. Tye, S. Sugawa, T. Ohmi

    2009 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 109 (98) 2B.2-152 2009/06

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    Though the electron mobility in the channel of MOSFET on Si(100) surface which is currently used for LSI devices is largest of all, the hole mobility is smallest. We have reported that the high quality gate insulator films can be formed on any oriented silicon surfaces by using radical oxidation and radical nitridation. Then, We can use Si(551) surface for fabricating the LSI devices. Si(551) is a surface orientation where 8゜ off from the Si(110) in <100> direction and is hard to be roughened by alkali solutions. The hole mobility in Si(551) surface is almost as large as Si(110). We demonstrate the high performance CMOS by fabricating accumulation mode MOSFETs on Si(551) surface.

  405. Study on Compositional Transition Layers at Gate Dielectrics/Si Interface by using Angle-resolved X-ray Photoelectron Peer-reviewed

    Tomoyuki SUWA, Takashi ARATANI, Masaaki HIGUCHI, Shigetoshi SUGAWA, Eiji IKENAGA, Jiro USHIO, Hiroshi NOHIRA, Akinobu TERAMOTO, Tadahiro OHMI, Takeo HATTORI

    2009 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor 109 (98) 2B-7-160 2009/06

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    Soft x-ray-excited angle-resolved photoemission results for nitride films formed using nitrogen-hydrogen radicals on Si(100), Si(111), and Si(110) are reported. The Si_3N_4/Si interfaces on all three surfaces are compositionally abrupt. This conclusion is based on 1) the observation that no Si atoms bonded with three N atoms and one Si atom were detected, 2) the observation that the number of Si-H bonds at the Si_3N_4/Si(110) interface is 38〜53% larger than those at the Si_3N_4/Si(100) and Si_3N_4/Si(111) interfaces indicates a dependence of the interface structure on the orientation of the substrate.

  406. Reduction of Scratch on Brush Scrubbing in Post CMP Cleaning by Analyzing Contact Kinetics on Ultra Low-k Dielectric Peer-reviewed

    X. Gu, T. Nemoto, A. Teramoto, T. Ito, S. Sugawa, T. Ohmi

    215th Meeting of The Electrochemical Society 0744 2009/05

  407. Different Types of Degradation and Recovery Mechanisms on NBT Stress for Thin SiO2 Films by On-the-Fly Measurement Peer-reviewed

    A. Teramoto, R. Kuroda, T. Suko, M. Sato, T. Tsuboi, S. Sugawa, T. Ohmi

    215th Meeting of The Electrochemical Society 796 2009/05

  408. Anomalous Random Telegraph Signal Extractions from a Very Large Number of n-Metal Oxide Semiconductor Field-Effect Transistors Using Test Element Groups with 0.47 Hz-3.0 MHz Sampling Frequency Peer-reviewed

    Kenichi Abe, Takafumi Fujisawa, Akinobu Teramoto, Shunichi Watabe, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 48 (4) 04C044-1-04C044-5 2009/04

    DOI: 10.1143/JJAP.48.04C044  

    ISSN: 0021-4922

  409. A Study on Very High Performance Novel Balanced Fully Depleted Silicon-on-Insulator Complementary Metal-Oxide-Semiconductor Field-Effect Transistors on Si(110) Using Accumulation-Mode Device Structure for Radio-Frequency Analog Circuits Peer-reviewed

    Weitao Cheng, Akinobu Teramoto, ChingFoa Tye, Rihito Kuroda, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 48 (4) 04C047-1-04C047-4 2009/04

    DOI: 10.1143/JJAP.48.04C047  

    ISSN: 0021-4922

  410. Complementary Metal-Oxide-Silicon Field-Effect-Transistors Featuring Atomically Flat Gate Insulator Film/Silicon Interface Peer-reviewed

    Rihito Kuroda, Akinobu Teramoto, Yukihisa Nakao, Tomoyuki Suwa, Masahiro Konda, Rui Hasebe, Xiang Li, Tatsunori Isogai, Hiroaki Tanaka, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 48 (4) 04C048-1-04C048-6 2009/04

    DOI: 10.1143/JJAP.48.04C048  

    ISSN: 0021-4922

    eISSN: 1347-4065

  411. Impact of Tungsten Capping Layer on Yttrium Silicide for Low-Resistance n(+)-Source/Drain Contacts Peer-reviewed

    Tatsunori Isogai, Hiroaki Tanaka, Tetsuya Goto, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 48 (4) 04C046-1-04C046-5 2009/04

    DOI: 10.1143/JJAP.48.04C046  

    ISSN: 0021-4922

    eISSN: 1347-4065

  412. Characterization for High-Performance CMOS Using In-Wafer Advanced Kelvin-Contact Device Structure Peer-reviewed

    Rihito Kuroda, Akinobu Teramoto, Takanori Komuro, Shigetoshi Sugawa, Tadahiro Ohmi

    IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING 22 (1) 126-133 2009/02

    DOI: 10.1109/TSM.2008.2010743  

    ISSN: 0894-6507

    eISSN: 1558-2345

  413. Atomically Flat Silicon Surface and Silicon/Insulator Interface Formation Technologies for (100) Surface Orientation Large-Diameter Wafers Introducing High Performance and Low-Noise Metal-Insulator-Silicon FETs Peer-reviewed

    Rihito Kuroda, Tomoyuki Suwa, Akinobu Teramoto, Rui Hasebe, Shigetoshi Sugawa, Tadahiro Ohmi

    IEEE TRANSACTIONS ON ELECTRON DEVICES 56 (2) 291-298 2009/02

    DOI: 10.1109/TED.2008.2010591  

    ISSN: 0018-9383

    eISSN: 1557-9646

  414. Suppression of 1/f Noise in Accumulation Mode FD-SOI MOSFETs on Si(100) and (110) Surfaces Peer-reviewed

    W. Cheng, C. Tye, P. Gaubert, A. Teramoto, S. Sugawa, T. Ohmi

    NOISE AND FLUCTUATIONS 1129 337-+ 2009

    ISSN: 0094-243X

  415. Three-step room temperature wet cleaning process for silicon substrate

    Rui Hasebe, Akinobu Teramoto, Tomoyuki Suwa, Rihito Kuroda, Shigetoshi Sugawa, Tadahiro Ohmi

    Solid State Phenomena 145-146 189-192 2009/01/01

    DOI: 10.4028/www.scientific.net/SSP.145-146.189  

    ISSN: 1012-0394

  416. Three-Step Room-Temperature Cleaning of Bare Silicon Surface for Radical-Reaction-Based Semiconductor Manufacturing Peer-reviewed

    Rui Hasebe, Akinobu Teramoto, Rihito Kuroda, Tomoyuki Suwa, Shigetoshi Sugawa, Tadahiro Ohmi

    JOURNAL OF THE ELECTROCHEMICAL SOCIETY 156 (1) H10-H17 2009

    DOI: 10.1149/1.2993153  

    ISSN: 0013-4651

    eISSN: 1945-7111

  417. Stress-induced leakage current and random telegraph signal Peer-reviewed

    Akinobu Teramoto, Yuki Kumagai, Kenichi Abe, Takafumi Fujisawa, Shunichi Watabe, Tomoyuki Suwa, Naoto Miyamoto, Shigetoshi Sugawa, Tadahiro Ohmi

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B 27 (1) 435-438 2009/01

    DOI: 10.1116/1.3054269  

    ISSN: 1071-1023

  418. Different Types of Degradation and Recovery Mechanisms on NBT Stress for Thin SiO2 Films by On-the-Fly Measurement Peer-reviewed

    A. Teramoto, R. Kuroda, T. Suko, M. Sato, T. Tsuboi, S. Sugawa, T. Ohmi

    ECS Transactions 19 (2) 339-350 2009

    DOI: 10.1149/1.3122100  

    ISSN: 1938-5862

  419. Accurate Time Constant of Random Telegraph Signal Extracted by a Sufficient Long Time Measurement in Very Large-Scale Array TEG Peer-reviewed

    T. Fujisawa, K. Abe, S. Watabe, N. Miyamoto, A. Teramoto, S. Sugawa, T. Ohmi

    ICMTS 2009: 2009 IEEE INTERNATIONAL CONFERENCE ON MICROELECTRONIC TEST STRUCTURES 19-24 2009

    DOI: 10.1109/ICMTS.2009.4814601  

  420. Advanced Method for Measuring Ultra-Low Contact Resistivity Between Silicide and Silicon Based on Cross Bridge Kelvin Resistor Peer-reviewed

    T. Isogai, H. Tanaka, A. Teramoto, T. Goto, S. Sugawa, T. Ohmi

    ICMTS 2009: 2009 IEEE INTERNATIONAL CONFERENCE ON MICROELECTRONIC TEST STRUCTURES 109-113 2009

    DOI: 10.1109/ICMTS.2009.4814621  

  421. A Test Structure for Statistical Evaluation of Characteristics Variability in a Very Large Number of MOSFETs Peer-reviewed

    S. Watabe, S. Sugawa, K. Abe, T. Fujisawa, N. Miyamoto, A. Teramoto, T. Ohmi

    ICMTS 2009: 2009 IEEE INTERNATIONAL CONFERENCE ON MICROELECTRONIC TEST STRUCTURES 114-118 2009

    DOI: 10.1109/ICMTS.2009.4814622  

  422. Asymmetry of RTS Characteristics along Source-Drain Direction and Statistical Analysis of Process-Induced RTS Peer-reviewed

    Kenichi Abe, Yuki Kumagai, Shigetoshi Sugawa, Shunichi Watabe, Takafumi Fujisawa, Akinobu Teramoto, Tadahiro Ohmi

    2009 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM, VOLS 1 AND 2 996-1001 2009

    DOI: 10.1109/IRPS.2009.5173398  

  423. A Color-Independent Saturation, Linear Response, Wide Dynamic Range CMOS Image Sensor With Retinal Rod- and Cone-like Color Pixels Peer-reviewed

    Shun Kawada, Shin Sakai, Nana Akahane, Koichi Mizobuchi, Shigetoshi Sugawa

    2009 SYMPOSIUM ON VLSI CIRCUITS, DIGEST OF TECHNICAL PAPERS 180-181 2009

  424. A CMOS Image Sensor With 2.5-e(-) Random Noise and 110-ke(-) Full Well Capacity Using Column Source Follower Readout Circuits Peer-reviewed

    Takahiro Kohara, Woonghee Lee, Nana Akahane, Koichi Mizobuchi, Shigetoshi Sugawa

    2009 SYMPOSIUM ON VLSI CIRCUITS, DIGEST OF TECHNICAL PAPERS 182-183 2009

  425. Reduction of scratch on brush scrubbing in post CMP cleaning by analyzing contact kinetics on ultra low-k dielectric Peer-reviewed

    Xun Gu, Takenao Nemoto, Akinobu Teramoto, Takashi Ito, Shigetoshi Sugawa, Tadahiro Ohmi

    ECS Transactions 19 (7) 103-109 2009

    DOI: 10.1149/1.3123779  

    ISSN: 1938-5862 1938-6737

  426. A Pixel-Shared CMOS Image Sensor Using Lateral Overflow Gate Peer-reviewed

    Shin Sakai, Yoshiaki Tashiro, Nana Akahane, Rihito Kuroda, Koichi Mizobuchi, Shigetoshi Sugawa

    2009 PROCEEDINGS OF ESSCIRC 240-243 2009

    DOI: 10.1109/ESSCIRC.2009.5326026  

    ISSN: 1930-8833

  427. A Wide Dynamic Range Checkered-Color CMOS Image Sensor with IR-Cut RGB and Visible-to-Near-IR Pixels Peer-reviewed

    Shun Kawada, Shin Sakai, Nana Akahane, Rihito Kuroda, Shigetoshi Sugawa

    2009 IEEE SENSORS, VOLS 1-3 1648-1651 2009

    DOI: 10.1109/ICSENS.2009.5398511  

  428. Three-Step Room Temperature Cleaning of Bare Silicon Surface for Radical Based Semiconductor Manufacturing Peer-reviewed

    Rui Hasebe, Akinobu Teramoto, Rihito Kuroda, Tomoyuki Suwa, Shigetoshi Sugawa, Tadahiro Ohmi

    The Meeting of the Electrochemical Society, Pacific Rim Meeting on electrochemical and Solid-State Science 1846 2008/10

  429. Accurate negative bias temperature instability lifetime prediction based on hole injection Peer-reviewed

    Akinobu Teramoto, Rihito Kuroda, Shigetoshi Sugawa, Tadahiro Ohmi

    MICROELECTRONICS RELIABILITY 48 (10) 1649-1654 2008/10

    DOI: 10.1016/j.microrel.2008.07.062  

    ISSN: 0026-2714

  430. Highly Accurate Management in the Dynamically Changing Fab Peer-reviewed

    Imaoka, K, Ishii Y, Kikuchi T, Sugawa S, Nagahira A

    IEEE International Symposium on Semiconductor Manufacturing 33-36 2008/10

  431. Research and development evaluation at an early stage Using the Analytic Hierarchy Process (AHP) Peer-reviewed

    H. Kamoda, S. Sugawa

    The 4th IEEE International Conference on Management of Innovation and Technology 1444-1449 2008/09

    DOI: 10.1109/ICMIT14244.2008  

  432. The Dynamic Range Enhancement Technology for CMOS Image Sensors Invited Peer-reviewed

    S. Sugawa, N. Akahane, S. Adachi, K. Mizobuchi

    International Conference on Solid State Devices and Materials 276-277 2008/09

  433. A Study on Very High Performance Novel Balanced FD-SOI CMOSFETs on Si(110) Using Accumulaton Mode Device Structure for RF Analog Circuits Peer-reviewed

    W. Cheng, A. Teramoto, C. Tye, R. Kuroda, S. Sugawa, T. Ohmi

    International Conference on Solid State Devices and Materials 876-877 2008/09

  434. CMOSFET Featuring Atomically Flat Gate Insulator Film / Silicon Interface on (100) Orientation Surface Peer-reviewed

    R. Kuroda, A. Teramoto, T. Suwa, Y. Nakao, S. Sugawa, T. Ohmi

    International Conference on Solid State Devices and Materials 706-707 2008/09

  435. Anomalous RTS Extractions from a Very Large Number of n-MOSFETs using TEG with 0.47Hz - 3.0MHz Sampling Frequency Peer-reviewed

    K. Abe, T. Fujisawa, A. Teramoto, S. Watabe, S. Sugawa, T. Ohmi

    International Conference on Solid State Devices and Materials 888-889 2008/09

  436. Impact of Tungsten Capping Layer on Yttrium Silicide for Low Resistance Source / Drain Contacts Peer-reviewed

    T. Isogai, H. Tanaka, T. Goto, A. Teramoto, S. Sugawa, T.Ohmi

    International Conference on Solid State Devices and Materials 446-447 2008/09

  437. 3-step room temperature wet cleaning process for silicon substrate Peer-reviewed

    R. Hasebe, A. Teramoto, R. Kuroda, T. Suwa, S. Sugawa, T. Ohmi

    International Symposium on Ultra Clean Procesing of Semiconductor Surface 112-113 2008/09

  438. A very low dark current temperature-resistant, wide dynamic range, complementary metal oxide semiconductor image sensor Peer-reviewed

    Koichi Mizobuchi, Satoru Adachi, Jose Tejada, Hiromichi Oshikubo, Nana Akahane, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 47 (7) 5390-5395 2008/07

    DOI: 10.1143/JJAP.47.5390  

    ISSN: 0021-4922

  439. Evaluation of Si(3)N(4)/Si interface by UV Raman spectroscopy Peer-reviewed

    A. Ogura, T. Yoshida, D. Kosemura, Y. Kakemura, T. Aratani, M. Higuchi, S. Sugawa, A. Teramoto, T. Ohmi, T. Hattori

    APPLIED SURFACE SCIENCE 254 (19) 6229-6231 2008/07

    DOI: 10.1016/j.apsusc.2008.02.151  

    ISSN: 0169-4332

  440. A wide DR and linear response CMOS image sensor with three photocurrent integrations in photodiodes, lateral overflow capacitors, and column capacitors Peer-reviewed

    Noriko Ide, Woonghee Lee, Nana Akahane, Shigetoshi Sugawa

    IEEE JOURNAL OF SOLID-STATE CIRCUITS 43 (7) 1577-1587 2008/07

    DOI: 10.1109/JSSC.2008.922399  

    ISSN: 0018-9200

  441. A Material of Semiconductor Package with Low Dielectric Constant, Low Dielectric Loss and Flat Surface for High Frequency and Low Power Propagation Peer-reviewed

    H. Imai, M. Sugimura, M. Kawasaki, A. Teramoto, S. Sugawa, T. Ohmi

    Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 108 (122) 47-51 2008/07

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    For the next generation LSI package, low power consumption and high frequency propagation must be satisfied. In order to meet such requirements, we investigated a dielectric material for semiconductor package which has three superior features: low dielectric constant, low dielectric loss, and a flat surface. The propagation loss of a microstrip line on the developed material is about 40% of the conventional material at 30GHz. The significant reduction of the propagation loss is caused by the flat surface and low dielectric loss. This technology greatly contributes to the next generation of semiconductor packages.

  442. Nitrogen profile study for SiON gate dielectrics of advanced dynamic random access memory Peer-reviewed

    Shigemi Murakawa, Masashi Takeuchi, Minoru Honda, Shu-ichi Ishizuka, Toshio Nakanishi, Yoshihiro Hirota, Takuya Sugawara, Yoshitsugu Tanaka, Yasushi Akasaka, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 47 (7) 5380-5384 2008/07

    DOI: 10.1143/JJAP.47.5380  

    ISSN: 0021-4922

  443. Imapact of Performance and Reliability Boosters in Novel FD-SOI CMOS Devices on Si(110) Surface for Analog Applications Peer-reviewed

    W. Cheng, A. Teramoto, R. Kuroda, C. Tye, S. Watabe, S. Sugawa, T. Ohmi

    International Conference on the Physics of Semiconductors 602-603 2008/07

  444. A Novel Simply Model of Roughness Induced Power Consumption for GHz Propagation on Printed Circuit Board Peer-reviewed

    Hiroshi Imai, Akinobu Teramoto, Masahiko Sugimura, Masafumi Kawasaki, Shigetoshi Sugawa, Tadahiro Ohmi

    International Conference on Electronics Packaging 2008 130-133 2008/06

  445. Stress Induced Leakage Current and Random Telegraph Signal Peer-reviewed

    A. Teramoto, Y. Kumagai, K. Abe, T. Fujisawa, S. Watabe, T. Suwa, N. Miyamoto, S. Sugawa, T.Ohmi

    Workshop on Dielectrics in Microelectronics 31-32 2008/06

  446. A 200-mu V/e(-) CMOS image sensor with 100-ke(-) full well capacity Peer-reviewed

    Satoru Adachi, Woonghee Lee, Nana Akahane, Hiromichi Oshikubo, Koichi Mizobuchi, Shigetoshi Sugawa

    IEEE JOURNAL OF SOLID-STATE CIRCUITS 43 (4) 823-830 2008/04

    DOI: 10.1109/JSSC.2008.917549  

    ISSN: 0018-9200

  447. High sensitivity dynamic range enhanced complementary metal-oxide-semiconductor imager with noise suppression Peer-reviewed

    Satoru Adachi, Woonghee Lee, Nana Akahane, Hiromichi Oshikubo, Koichi Mizobuchi, Shigetoshi Sugawa

    JAPANESE JOURNAL OF APPLIED PHYSICS 47 (4) 2761-2766 2008/04

    DOI: 10.1143/JJAP.47.2761  

    ISSN: 0021-4922

  448. Performance comparison of ultrathin fully depleted silicon-on-insulator inversion-, intrinsic-, and accumulation-mode metal-oxide-semiconductor field-effect transistors Peer-reviewed

    Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 47 (4) 2668-2671 2008/04

    DOI: 10.1143/JJAP.47.2668  

    ISSN: 0021-4922

    eISSN: 1347-4065

  449. Formation and property of yttrium and yttrium silicide films as low Schottcky barrier material for n-type silicon Peer-reviewed

    Tatsunori Isogai, Hiroaki Tanaka, Tetsuya Goto, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS 47 (4) 3138-3141 2008/04

    DOI: 10.1143/JJAP.47.3138  

    ISSN: 0021-4922

    eISSN: 1347-4065

  450. Tantalum Nitride Formation in Xe Inert Gas on Ultra Low k Dielectric for Cu Interconnects on 45nm LSI and beyond Peer-reviewed

    Takenao Nemoto, Gu Xun, Hiroshi Imai, Akinobu Teramoto, Takashi Ito, Shigetoshi Sugawa, Tadahiro Ohmi

    Material Research Society 2008 Spring Meeting 2008/03

  451. The Cleaning Method Which is Able to Keep the Smoothness of Si (100) Peer-reviewed

    Xiang Li, Xun Gu, Akinobu Teramoto, Rihito Kuroda, Rui Hasebe, Tomoyuki Suwa, Ningmei Yu, Shigetoshi Sugawa, Takashi Ito, Tadahiro Ohmi

    International Semiconducotor Technology Conference PV 2008-1 469-474 2008/03

  452. Wide Dynamic Range on System Level Invited Peer-reviewed

    Koichi Mizobuchi, Shigetoshi Sugawa

    International Solid State Circuits Conference Imager Design Forum 2008/02

  453. 局所境界要素法によるイメージセンサの3次元波動光学シミュレーション Peer-reviewed

    武藤秀樹, 須川成利

    映像情報メディア学会誌 62 (8) 1319-1325 2008

    DOI: 10.3169/itej.62.1319  

  454. 高温下の耐性・撮像性能を改善した広ダイナミックレンジCMOSイメージセンサ Peer-reviewed

    溝渕孝一, 足立理, 山下友和, 岡村誠一郎, 押久保弘道, 赤羽奈々, 須川成利

    映像情報メディア学会誌 62 (3) 368-375 2008

    DOI: 10.3169/itej.62.368  

  455. A 800(H) x 600(V) high sensitivity and high full well capacity CMOS image sensor with active pixel readout feedback operation Peer-reviewed

    Woonghee Lee, Nana Akahane, Satoru Adachi, Koichi Mizobuchi, Shigetoshi Sugawa

    SPIE Electronic Imaging Science and Technology 6816 68160R-1-68160R-8 2008

    ISSN: 0277-786X

  456. Angle-resolved photoelectron study on the structures of silicon nitride films and Si3N4 /Si interfaces formed using nitrogen-hydrogen radicals

    T. Aratani, M. Higuchi, S. Sugawa, E. Ikenaga, J. Ushio, H. Nohira, T. Suwa, A. Teramoto, T. Ohmi, T. Hattori

    JOURNAL OF APPLIED PHYSICS vol. 104 (No. 11) 114112-1 - 114112-8 2008

    DOI: 10.1063/1.3002418  

    ISSN: 0021-8979

    eISSN: 1089-7550

  457. 13.56 and 100 MHz Coupled Mode Rf-Sputtering for Ferroelectric Sr2(Ta1-x,Nbx)2O7 (STN) Film Applied to One-Transistor Type Ferroelectric Random Access Memory Peer-reviewed

    Ichirou Takahashi, Masaki Hirayama, Yasuyuki Shirai, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    FERROELECTRICS 368 328-333 2008

    DOI: 10.1080/00150190802368008  

    ISSN: 0015-0193

    eISSN: 1563-5112

  458. An optimum design of the LOFIC CMOS image sensor for high sensitivity, low noise and high full well capacity Peer-reviewed

    Nana Akahane, Woonghee Lee, Shigetoshi Sugawa

    SPIE Electronic Imaging Science and Technology 6817 681702-1-681702-8 2008

    DOI: 10.1117/12.765649  

    ISSN: 0277-786X

  459. A low-noise wide dynamic range CMOS image sensor with low and high temperatures resistance Peer-reviewed

    Koichi Mizobuchi, Satoru Adachi, Jose Tejada, Hiromichi Oshikubo, Nana Akahane, Shigetoshi Sugawa

    6816 681604-1-681604-8 2008

    DOI: 10.1117/12.765871  

    ISSN: 0277-786X

  460. A linear response 200-dB dynamic range CMOS image sensor with multiple voltage and current readout operations Peer-reviewed

    Noriko Ide, Nana Akahane, Shigetoshi Sugawa

    SPIE Electronic Imaging Science and Technology 6816 681605-1-681605-8 2008

    DOI: 10.1117/12.767109  

    ISSN: 0277-786X

  461. Damage-free microwave-excited plasma etching without carrier deactivation of heavily doped Si under thin silicide layer Peer-reviewed

    Tetsuya Goto, Kazuyuki Ikenaga, Akinobu Teramoto, Masaki Hirayama, Shigetoshi Sugawa, Tadahiro Ohmi

    JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A 26 (1) 8-16 2008/01

    DOI: 10.1116/1.2804424  

    ISSN: 0734-2101

    eISSN: 1520-8559

  462. Characterization of MOSFETs intrinsic performance using in-wafer advanced Kelvin-contact device structure for high performance CMOS LSIs Peer-reviewed

    Rihito Kuroda, Akinobu Teramoto, Takanori Komuro, Weitao Cheng, Syunichi Watabe, Ching Foa Tye, Shigetoshi Sugawa, Tadahiro Ohmi

    2008 IEEE INTERNATIONAL CONFERENCE ON MICROELECTRONIC TEST STRUCTURES, CONFERENCE PROCEEDINGS 155-159 2008

    DOI: 10.1109/ICMTS.2008.4509331  

    ISSN: 1071-9032

  463. Statistical evaluation for anomalous SILC of tunnel oxide using integrated array TEG Peer-reviewed

    Yuki Kumagai, Akinobu Teramoto, Shigetoshi Sugawa, Tomoyuki Suwa, Tadahiro Ohmi

    2008 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM PROCEEDINGS - 46TH ANNUAL 219-224 2008

    DOI: 10.1109/RELPHY.2008.4558890  

    ISSN: 1541-7026

  464. New insulation material with flat-surface, low coefficient of thermal expansion, low-dielectric-loss for next generation semiconductor packages Peer-reviewed

    M. Sugimura, H. Imai, M. Kawasaki, K. Kamata, K. Fujii, Y. Fujito, S. Yonehara, A. Teramoto, S. Sugawa, T. Ohmi

    58TH ELECTRONIC COMPONENTS & TECHNOLOGY CONFERENCE, PROCEEDINGS 747-752 2008

    DOI: 10.1109/ECTC.2008.4550057  

    ISSN: 0569-5503

  465. Tantalum nitride sputtering deposition with Xe on fluorocarbon for Cu interconnects Peer-reviewed

    Takenao Nemoto, Hiroshi Imai, Akinobu Teramoto, Takashi Ito, Shigetoshi Sugawa, Tadahiro Ohmia

    JOURNAL OF THE ELECTROCHEMICAL SOCIETY 155 (5) H323-H328 2008

    DOI: 10.1149/1.2883736  

    ISSN: 0013-4651

  466. Atomically Flat Gate Insulator/Silicon (100) Interface Formation Introducing High Mobility, Ultra-low Noise, and Small Characteristics Variation CMOSFET Peer-reviewed

    R. Kuroda, A. Teramoto, T. Suwa, R. Hasebe, X. Li, M. Konda, S. Sugawa, T. Ohmi

    ESSDERC 2008: PROCEEDINGS OF THE 38TH EUROPEAN SOLID-STATE DEVICE RESEARCH CONFERENCE 83-86 2008

    DOI: 10.1109/ESSDERC.2008.4681704  

    ISSN: 1930-8876

  467. A CMOS Image Sensor Extracting Color Image Feature Values for Object Categorization System Peer-reviewed

    T. Kubo, S. Sugawa

    Proceedings of IEEE Sensors 949-952 2008

    DOI: 10.1109/ICSENS.2008.4716598  

  468. High Growth Rate of SiO2 Thin Films at Low temperature (400C) for Silicon Based Microelectronic Devices Using Plasma Techniques Peer-reviewed

    Y. Saito, K. Sekine, R. Kaihara, M. Hirayama, S. Sugawa, H. Aharoni, T. Ohmi

    Israel Vacuum Society Annual Conference and Technical Workshop P-ED-7 2007/10

  469. Imprint properties of IrO2/Sr2(Ta1-x,Nbx)2O7/SiO2/Si structure device formed by rf sputtering and oxygen radical treatment Peer-reviewed

    Ichiro Takahashi, Shigetoshi Sugawa, Tadahiro Ohmi

    2007 European Materials Research Society Fall Meeting (EMRS 2007) 306 2007/09

  470. High Sensitivity Dynamic Range Enhanced CMOS Imager with Noise Suppression Peer-reviewed

    S. Adachi, W. Lee, N. Akahane, H. Oshikubo, K. Mizobuchi, S. Sugawa

    2007 International Conference on Solid State Devices and Materials 2007 1060-1061 2007/09

  471. Low Contact Resistance with Low Schottky Barrier for N-type Silicon Using Yttrium Silicide Peer-reviewed

    T. Isogai, H. Tanaka, T. Goto, A. Teramoto, S. Sugawa, T. Ohmi

    2007 International conferance on solod state devices and materials 206-207 2007/09

  472. Performance Comparison of Ultra-thin FD-SOI Inversion-, Intrinsic- and Accumulation-Mode MOSFETs Peer-reviewed

    R. Kuroda, A. Teramoto, S. Sugawa, T. Ohmi

    2007 International conferance on solod state devices and materials 412-413 2007/09

  473. Nitrogen Profile Study for SiON Gate Dielectrics of Advanced DRAM Peer-reviewed

    S. Murakawa, M. Takeuchi, M. Honda, S. Ishizuka, T. Nakanishi, Y. Hirota, T. Sugawara, Y. Tanaka, Y. Akasaka, A. Teramoto, S. Sugawa, T. Ohmi

    2007 International conference on solod state devices and materials 107 (245) 1150-1151 2007/09

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    Nitrogen profile variations were systematically studied for the DRAM plasma nitridation process, using AR-XPS, and their influences to the boron blocking and device performances including NBTI were investigated. The high pressure and high temperature condition of plasma nitridation is preferred for improving the NBTI and the tool productivity. Post nitridation anneal stabilizes the nitrogen profile, and improves the boron blocking performance. Both of re-oxidation and the out-diffusion of nitrogen atoms take place simultaneously near the surface during the queue time after the plasma nitridation. RLSA plasma nitridation is a successful SiON gate insulator formation technology in the manufacturing of DRAM as well as logic devices.

  474. Microwave-Excited Plasma Enhanced Metal-Organic Chemical Vapor Deposition with Ion-Bombardment-Assistance for Forming Ferroelectric Sr2(Ta1-x,Nbx)2O7 (STN) Thin Film Peer-reviewed

    Ichirou Takahashi, Masaki Hirayama, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    Extended Abstracts of International Conference on Electroceramics (ICE2007) 92-93 2007/07

  475. Circuit level prediction of device performance degradation due to negative bias temperature stress Peer-reviewed

    Rihito Kuroda, Akinobu Teramoto, Kazufumi Watanabe, Michihiko Mifuji, Takahisa Yamaha, Shigetoshi Sugawa, Tadahiro Ohmi

    MICROELECTRONICS RELIABILITY 47 (6) 930-936 2007/06

    DOI: 10.1016/j.microrel.2006.06.013  

    ISSN: 0026-2714

  476. Analysis of Source Follower Random Telegraph Signal Using nMOS and pMOS Array TEG Peer-reviewed

    K. Abe, S. Sugawa, R. Kuroda, S. Watabe, N. Miyamoto, A. Teramoto, T. Ohmi, Y. Kamata, K. Shibusawa

    2007 International Image Sensor Workshop 62-65 2007/06

  477. 3-D Wave Optical Simulation of Light Wave-guide Structures by Localized Boundary Element Method Peer-reviewed

    Hideki Mutoh, Shigetoshi Sugawa

    2007 International Image Sensor Workshop 141-144 2007/06

  478. Analog Readout Circuitry for Wide-Dynamic Range CMOS image sensors Peer-reviewed

    Jose Tejada, Hirokazu Sawada, Shigetoshi Sugawa, Nana Akahane

    2007 International Image Sensor Workshop 94-97 2007/06

  479. A Wide Dynamic Range CMOS Image Sensor with Resistance to High Temperatures Peer-reviewed

    Koichi Mizobuchi, Satoru Adachi, Tomokazu Yamashita, Seiichiro Okamura, Hiromichi Oshikubo, Nana Akahane, Shigetoshi Sugawa

    International Image Sensor Workshop 26-29 2007/06

  480. Very high carrier mobility for high-performance CMOS on a Si(110) surface Peer-reviewed

    Akinobu Teramoto, Tatsufumi Hamada, Masashi Yamamoto, Philippe Gaubert, Hiroshi Akahori, Keiichi Nii, Masaki Hirayama, Kenta Arima, Katsuyoshi Endo, Shigetoshi Sugawa, Tadahiro Ohmi

    IEEE TRANSACTIONS ON ELECTRON DEVICES 54 (6) 1438-1445 2007/06

    DOI: 10.1109/TED.2007.896372  

    ISSN: 0018-9383

  481. Formation of Ferroelectric Sr2(Ta1-x,Nbx)2O7Film (STN) on SiON formed by microwave-excited plasma and (Ba1-x,Srx)TiO3(BST) by rf sputtering applied to One-Transistor-Type Ferroelectric Memory Device Peer-reviewed

    Ichirou Takahashi, Tomoyuki Suwa, Keita Azumi, Tatsunori Isogai, Yasuyuki Shirai, Masaki Hirayama, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    The 19th International Symposium of Integrated Ferroelectrics (ISIF 2007) 2007/05

  482. Impact of Improved Mobilities and Suppressed 1/f Noise in Fully Depleted SOI MOSFETs Fabricated on Si(110) Surface Peer-reviewed

    W. Cheng, A. Teramoto, C. Tye, P. Gaubert, M. Hirayama, S. Sugawa, T. Ohmi

    211th Meeting of The Electrochemical Society 717 2007/05

  483. The influence of interconnect line patterns using flat-surface and low-dielectric-loss material under high speed signal propagation Peer-reviewed

    M. Sugimura, H. Imai, M. Nakayama, M. Kawasaki, M. Fujimura, H. Oonuki, O. Kawashima, A. Morimoto, A. Teramoto, S. Sugawa, T. Ohmi

    57TH ELECTRONIC COMPONENTS & TECHNOLOGY CONFERENCE, 2007 PROCEEDINGS 1714-1719 2007/05

    ISSN: 0569-5503

  484. Fabrication of Pt/Sr-2(Ta1-x,Nb-x)(2)O-7/SiO2/Si field-effect transistor for one-transistor-type ferroelectric random access memory Peer-reviewed

    Ichirou Takahashi, Keita Azumi, Yasuyuki Shirai, Masaki Hirayama, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    PROCEEDINGS OF THE 6TH WSEAS INTERNATIONAL CONFERENCE ON MICROELECTRONICS, NANOELECTRONICS AND OPTOELECTRONICS 37-43 2007/05

  485. Accuracy and applicability of low-frequency C-V measurement methods for characterization of ultrathin gate dielectrics with large current Peer-reviewed

    Rihito Kuroda, Akinobu Teramoto, Takanori Komuro, Hiroshi Tatekawa, Shigetoshi Sugawa, Tadahiro Ohmi

    IEEE TRANSACTIONS ON ELECTRON DEVICES 54 (5) 1115-1124 2007/05

    DOI: 10.1109/TED.2007.893207  

    ISSN: 0018-9383

  486. Hot Carrier Instability Mechanism in Accumulation-Mode Normally-off SOI nMOSFETs and Their Reliability Advantage Peer-reviewed

    Rihito Kuroda, Akinobu Teramoto, Weitao Cheng, Shigetoshi Sugawa, Tadahiro Ohmi

    The 211th Electrochemical Society Meeting Abstract 54 (719) 2007/05

  487. Development of Microwave-Excited Plasma-Enhanced Metal-Organic Chemical Vapor Deposition System for Forming Ferroelectric Sr2(Ta1-x, Nbx)2O7 Thin Film on Amorphous SiO2 Peer-reviewed

    Ichirou Takahashi, Kiyoshi Funaiwa, Keita Azumi, Satoru Yamashita, Yasuyuki Shirai, Masaki Hirayama, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    Japanese Journal of Applied Physics 46 (4B) 2200-2204 2007/04

    DOI: 10.1143/JJAP.43.2200  

    ISSN: 0021-4922

  488. Very low bit error rate in flash memory using tunnel dielectrics formed by Kr/O(2)/NO plasma oxynitridation Peer-reviewed

    Tomoyuki Suwa, Hiroto Takahashi, Yuki Kumagai, Genya Fujita, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    Japanese Journal of Applied Physics 46 (4B) 2148-2152 2007/04

    DOI: 10.1143/JJAP.46.2148  

    ISSN: 0021-4922

  489. High quality gate insulator film formation on SiC using by microwave-excited high-density plasma Peer-reviewed

    Koutarou Tanaka, Hiroaki Tanaka, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    MICROELECTRONICS RELIABILITY 47 (4-5) 786-789 2007/04

    DOI: 10.1016/j.microrel.2007.01.076  

    ISSN: 0026-2714

  490. Low leakage current and low resistivity p(+)n diodes on Si(110) fabricated by Ga+ and B+ dual ion implantation for low temperature source-drain activation Peer-reviewed

    Hiroshi Imai, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    Japanese Journal of Applied Physics 46 (4B) 1848-1852 2007/04

    DOI: 10.1143/JJAP.46.1848  

    ISSN: 0021-4922

  491. Electric Characteristics of Si3N4 Films Formed by Directly Radical Nitridation on Si(110) and Si(100) Surfaces Peer-reviewed

    Masaaki Higuchi, Takashi Aratani, Tatsufumi Hamada, Seiji Shinagawa, Hiroshi Nohira, Eiji Ikenaga, Akinobu Teramoto, Takeo Hattori, Shigetoshi Sugawa, Tadahiro Ohmi

    Japanese Journal of Applied Physics 46 (4B) 1895-1898 2007/04

    DOI: 10.1143/JJAP.46.1895  

    ISSN: 0021-4922

  492. New statistical evaluation method for the variation of metal-oxide-semiconductor field-effect transistors Peer-reviewed

    Syunichi Watabe, Shigetoshi Sugawa, Akinobu Teramoto, Tadahiro Ohmi

    Japanese Journal of Applied Physics 46 (4B) 2054-2057 2007/04

    DOI: 10.1143/JJAP.46.2054  

    ISSN: 0021-4922

  493. Technology of ferroelectric thin-film formation with large coercive field on amorphous SiO2 by ion-bombardment-assisted sputtering and oxygen radical treatment for future scaling down of ferroelectric gate field-effect transistor memory device Peer-reviewed

    Ichirou Takahashi, Masaki Hirayama, Shigetoshi Sugawa, Tadahiro Ohmi

    Japanese Journal of Applied Physics 46 (4B) 2205-2210 2007/04

    DOI: 10.1143/JJAP.46.2205  

    ISSN: 0021-4922

  494. Subnitride and valence band offset at Si3N4/Si interface formed using nitrogen-hydrogen radicals Peer-reviewed

    Masaaki Higuchi, Shigetoshi Sugawa, Eiji Ikenaga, Jiro Ushio, Hiroshi Nohira, Takuya Maruizumi, Akinobu Teramoto, Tadahiro Ohmi, Takeo Hattori

    Applied Physics Letters 90 (12) 123114-1-123114-3 2007/03

  495. An Over 200-dB Dynamic Range CMOS Image Sensor Combining a Lateral Overflow Integration with Photo-Current Readout Operation Peer-reviewed

    AKAHANE Nana, IDE Noriko, ADACHI Satoru, MIZOBUCHI Koichi, SUGAWA Shigetoshi

    The Journal of the Institute of Television Engineers of Japan 61 (3) 347-359 2007/03

    Publisher: The Institute of Image Information and Television Engineers

    DOI: 10.3169/itej.61.347  

    ISSN: 1342-6907

    More details Close

    A wide dynamic range 64x64 CMOS image sensor with 20x20um 2 pixel that combines a lateral-overflow integration voltage-readout operation with the current readout operation from the buried photo-diode hasbeen developed.In the voltage readout operation,an over 160-dB dynamic range image with a linear response isobtained from sequential electronic shutter operations from 1/30 s to 1/130 ks with a dynamic range of about 100-dB within a light intensity range from about 10 -2lx to 106lx.In addition,an over 200-dB dynamic range perform-ance of up to 108lx or more with few time exposures in the high illuminance region is made possible by combiningit with the current reading operation.More than 40 dB for all noises,including photon shot noise,occurs around all the switching points.

  496. 群企画製品のモジュール化設計をベースにした生産システムの開発 Peer-reviewed

    中塚信雄, 堀田正明, 加守田裕樹, 福田好朗, 須川成利

    日本機械学会論文集(C編) 73 (727) 897-903 2007/03

    Publisher: The Japan Society of Mechanical Engineers

    DOI: 10.1299/kikaic.73.897  

    ISSN: 0387-5024

    More details Close

    It is called for that development of the efficient production system in large variety and small volume manufacturing carries out simultaneous solution of the complicated demand of a product kind, quality, cost, and delivery. Since only the person experienced in development of a production system can carry out subject solution, modeling of the production system which is economical by general purpose large variety and small volume production has not been completed. In order to solve these subjects, the product application is limited first, and a functional module is defined paying attention to the product function of the product and a product design and the modularized design of the manufacturing process are performed. This production system consist of function quality completed production which completes the functional quality which used this process module as the base is performed and the mixed and synchronized production system or the demand synchronized novel production system. The wide variety and small volume production system by which the knowhow of a production systems configuration corresponded to quality, cost, and delivery flexibly with constituting a module hierarchical at least can be offered.

  497. Examination of degradation mechanism due, to negative bias temperature stress from a perspective of hole energy for accurate lifetime prediction Peer-reviewed

    Kazufumi Watanabe, Akinobu Teramoto, Rihito Kuroda, Shigetoshi Sugawa, Tadahiro Uni

    MICROELECTRONICS RELIABILITY 47 (2-3) 409-418 2007/02

    DOI: 10.1016/j.microrel.2006.06.001  

    ISSN: 0026-2714

  498. AN OBJECT EXTRACTION CMOS IMAGE SENSOR WITH 12-BIT COLUMN-PAPALLEL ADCS AND ALUS Peer-reviewed

    T. Tate, H. Kanto, Y. Motohashi, T. kubo, S. Sugawa, K. Kotani, T. Ohmi

    PROCEEDINGS OF THE JOINT INTERNATIONAL CONFERENCE OF 4TH INTERNATIONAL SYMPOSIUM ON SYSTEM CONSTRUCTION OF GLOBAL-NETWORK-ORIENTED INFORMATION ELECTRONICS AND STUDENT-ORGANIZING INTERNATIONAL MINI-CONFERENCE ON INFORMATION ELECTRONICS SYSTEM 274-275 2007/01

  499. 知的財産マネジメントにおける発明評価に関する研究 Peer-reviewed

    加藤浩一郎, 須川成利

    パテント 60 (1) 77-84 2007/01

    Publisher: 日本弁理士会

    ISSN: 0287-4954

  500. 13.56 and 100 MHz Coupled Mode Rf-Sputtering for Ferroelectric Sr2(Ta1-x, Nbx)2O7 (STN) Film Applied to One-Transistor Type Ferroelectric Random Access Memory Peer-reviewed

    I. TAKAHASHI, T. SHINOHARA, A. TERAMOTO, M. HIRAYAMA, S. SUGAWA, T. OHMI

    European Meeting on Ferroelectricity 211 2007

  501. Improving execution speed of FPGA using dynamically reconfigurable technique Peer-reviewed

    Roel Pantonial, Md. Ashfaquzzaman Khan, Naoto Miyamoto, Koji Kotani, Shigetoshi Sugawa, Tadahiro Ohmi

    PROCEEDINGS OF THE ASP-DAC 2007 108-109 2007

    DOI: 10.1109/ASPDAC.2007.357964  

    ISSN: 2153-6961

  502. A 960-fps sub-sampling object extraction CMOS image sensor with 12-bit column parallel ADCs and ALUs Peer-reviewed

    Yuichi Motohashi, Takashi Kubo, Hiroaki Kanto, Tomoyasu Tate, Shigetoshi Sugawa

    SPIE Electronic Imaging Science and Technology 6501 O-1-O-8 2007

    ISSN: 0277-786X

  503. A temperature resistant wide dynamic range CMOS image sensor Peer-reviewed

    Koichi Mizobuchi, Satoru Adachi, Tomokazu Yamashita, Seiichiro Okamura, Hiromichi Oshikubo, Nana Akahane, Shigetoshi Sugawa

    SPIE Electronic Imaging Science and Technology 6501 P-1-P-8 2007

    ISSN: 0277-786X

  504. Impact of improved mobilities and suppressed 1/f noise in fully depleted SOI MOSFETs fabricated on Si(110) surface Peer-reviewed

    W. Cheng, A. Teramoto, C. Tye, P. Gaubert, M. Hirayama, S. Sugawa, T. Ohmi

    ECS Transactions 6 (4) 101-106 2007

    DOI: 10.1149/1.2728847  

    ISSN: 1938-5862 1938-6737

  505. Hot carrier instability mechanism in accumulation-mode normally-off SOI nMOSFETs and their reliability advantage Invited Peer-reviewed

    R. Kuroda, A. Teramoto, W. Cheng, S. Sugawa, T. Ohmi

    ECS Transactions 6 (4) 113-118 2007

    DOI: 10.1149/1.2728849  

    ISSN: 1938-5862 1938-6737

    eISSN: 1938-6737

  506. Random telegraph signal statistical analysis using a very large-scale array TEG with IM MOSFETs Peer-reviewed

    K. Abe, S. Sugawa, S. Watabe, N. Miyamoto, A. Teramoto, Y. Kamata, K. Shibusawa, M. Toita, I. Ohmi

    2007 SYMPOSIUM ON VLSI TECHNOLOGY, DIGEST OF TECHNICAL PAPERS 210-211 2007

    DOI: 10.1109/VLSIT.2007.4339696  

  507. A 200-mu V/e(-) CMOS image sensor with 100-ke(-) full well capacity Peer-reviewed

    Satoru Adachi, Woonghee Lee, Nana Akahane, Hiromichi Oshikubo, Koichi Mizobuchi, Shigetoshi Sugawa

    2007 Symposium on VLSI Circuits, Digest of Technical Papers 142-143 2007

    DOI: 10.1109/VLSIC.2007.4342690  

  508. A wide DR and linear response CMOS image sensor with three photocurrent integrations in photodiodes, lateral overflow capacitors and column capacitors Peer-reviewed

    Noriko Ide, Woonghee Lee, Nana Akahane, Shigetoshi Sugawa

    ESSCIRC 2007: PROCEEDINGS OF THE 33RD EUROPEAN SOLID-STATE CIRCUITS CONFERENCE 336-339 2007

    DOI: 10.1109/ESSCIRC.2007.4430312  

    ISSN: 1930-8833

  509. Statistical analysis of RTS noise and low frequency noise in 1M MOSFETs using an advanced TEG Peer-reviewed

    K. Abe, S. Sugawa, S. Watabe, N. Miyamoto, A. Teramoto, M. Toita, Y. Kamata, K. Shibusawa, T. Ohmi

    International Conference on Noise and Fluctuations 922 115-118 2007

    ISSN: 0094-243X

  510. Modeling and Implementation of Subthreshold Characteristics of Accumulation-Mode MOSFETs for Various SOI Layer Thickness and Impurity Concentrations Peer-reviewed

    R. Kuroda, A. Teramoto, W. Cheng, S. Sugawa, T. Ohmi

    2007 IEEE INTERNATIONAL SOI CONFERENCE PROCEEDINGS 55-56 2007

    DOI: 10.1109/SOI.2007.4357849  

    ISSN: 1078-621X

  511. A range finding array sensor performing correlated calculations with a PN code modulation light Peer-reviewed

    T. Joboji, S. Sugawa

    2007 IEEE SENSORS, VOLS 1-3 656-659 2007

    DOI: 10.1109/ICSENS.2007.4388484  

    ISSN: 1930-0395

  512. A high S/N ratio and high full well capacity CMOS image sensor with active pixel readout feedback operation Peer-reviewed

    Woonghee Lee, Nana Akahanel, Satoru Adachi, Koichi Mizobuchi, Shigetoshi Sugawal

    2007 IEEE ASIAN SOLID-STATE CIRCUITS CONFERENCE, PROCEEDINGS OF TECHNICAL PAPERS 260-263 2007

    DOI: 10.1109/ASSCC.2007.4425780  

  513. Recent progress on wide dynamic range image sensors Invited Peer-reviewed

    S. Sugawa

    IDW '07: PROCEEDINGS OF THE 14TH INTERNATIONAL DISPLAY WORKSHOPS, VOLS 1-3 307-310 2007

  514. A rapid prototyping of real-time pattern generator for step-and-scan lithography using digital micromirror device Peer-reviewed

    Naoto Miyamoto, Masahiko Shimakage, Tatsuo Morimoto, Kazuya Kadota, Shigetoshi Sugawa, Tadahiro Ohmi

    ICFPT 2007: INTERNATIONAL CONFERENCE ON FIELD-PROGRAMMABLE TECHNOLOGY, PROCEEDINGS 305-308 2007

    DOI: 10.1109/FPT.2007.4439272  

  515. Electric and interface characteristics of Si3N4 films formed by directly radical NH on Si (110) and Si (100) surfaces Peer-reviewed

    Masaaki Higuchi, Tomoyuki Suwa, Takashi Aratani, Tatsufumi Hamada, Akinobu Teramoto, Takeo Hattori, Shigetoshi Sugawa, Tadahiro Ohmi, Seiji Shinagawa, Hiroshi Nohira, Eiji Ikenaga

    37th IEEE Semiconductor Interface Specialists Conference 13 2006/12

  516. X-ray photoelectron spectroscopy study of dielectric constant for Si compounds Peer-reviewed

    K. Hirose, M. Kihara, D. Kobayashi, H. Okamoto, S. Shinagawa, H. Nohira, E. Ikenaga, M. Higuchi, A. Teramoto, S. Sugawa, T. Ohmi, T. Hattori

    APPLIED PHYSICS LETTERS 89 (15) 154103-1-154103-3 2006/10

    DOI: 10.1063/1.2361177  

    ISSN: 0003-6951

  517. Radical oxidation on ultra pure silicon surface Peer-reviewed

    Kazumasa Kawase, Masaaki Higuchi, Tomoyuki Suwa, Hiroshi Umeda, Masao Inoue, Akinobu Teramoto, Takeo Hattori, Shigetoshi Sugawa, Tadahiro Ohmi

    The 210th Electrochemical Society Meeting 602 937 2006/10

  518. Thin SiON Film Grown at Low Temperature (400◦C) by Microwave-Exited High-Density Kr/O2/N2 Plasma Peer-reviewed

    Kazuo Ohtsubo, Yuji Saito, Masaki Hirayama, Shigetoshi Sugawa, Herz Aharoni, Tadahiro Ohmi

    IEEE TRANSACTIONS ON PLASMA SCIENCE 34 (5) 2443-2449 2006/10

    DOI: 10.1109/TPS.2006.883263  

    ISSN: 0093-3813

  519. Very Low Bit Error Rate in Flash Memory using Tunnel Dielectrics formed by Kr/O2/NO Plasma Oxynitridation Peer-reviewed

    Tomoyasu Suwa, Hiroto Takahashi, Yuki Kumagai, Genya Fujita, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    International Conference on Solid State Devices and Materials 296-297 2006/09

  520. A New Statistical Evaluation Method for the Variation of MOSFETs Peer-reviewed

    Syunichi Watabe, Shigetoshi Sugawa, Akinobu Teramoto, Tadahiro Ohmi

    2006 International Conference on SOLID STATE DEVICES and MATERIALS 532-533 2006/09

  521. Low Leakage Current and Low Resistivity p+n Diodes on Si(110) Fabricated by Ga+/B+ Combination I/I and Low Temperature Annealing Peer-reviewed

    Hiroshi Imai, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    2006 International Conference on SOLID STATE DEVICES and MATERIALS 454-455 2006/09

  522. Formation of Ferroelectric Sr2(Ta1-xNbx)2O7 Thin Film on Amorphous SiO2 by Microwave-Excited Plasma Enhanced Metalorganic Chemical Vapor Deposition Peer-reviewed

    Ichirou Takahashi, Kiyoshi Funaiwa, Keita Azumi, Satoru Yamashita, Yasuyuki Shirai, Masaki Hirayama, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    International Conference on SOLID STATE DEVICES and MATERIALS 2006 124-125 2006/09

  523. Technology of Ferroelectric Thin Film Formation with Large Coercive Filed for Future Scaling Down of Ferroelctric Gate FET Memory Devices Peer-reviewed

    I. Takahashi, T. Isogai, K. Azumi, M. Hirayama, A. Teramoto, S.Sugawa, T. Ohmi

    International Conference on SOLID STATE DEVICES and MATERIALS 2006 554-555 2006/09

  524. Electric characteristics of Si3N4 films formed by directly radical nitridation on Si (110) and Si (100) surfaces Peer-reviewed

    Masaaki Higuchi, Takashi Aratani, Tatsufumi Hamada, Akinobu Teramoto, Takeo Hattori, Shigetoshi Sugawa, Tadahiro Ohmi, Seiji Shinagawa, Hiroshi Nohira, Eiji Ikenaga, Keisuke Kobayashi

    International Conference on SOLID STATE DEVICES and MATERALS 386-387 2006/09

  525. Fabrication of Pt/Sr2(Ta1-x,Nbx)2O7/IrO2/SiO2/Si Device with Large Memory Window and Metal-Ferroelectric-Metal-Insulator-Si Field-Effect Transistor Peer-reviewed

    Ichirou Takahashi, Keita Azumi, Masaki Hirayama, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    Japanese Journal of Applied Physics 45 (9B) 7336-7340 2006/09

    DOI: 10.1143/JJAP.45.7336  

    ISSN: 0021-4922

  526. 特許出願意思決定支援のための発明評価への工学的手法の適用 Peer-reviewed

    加藤 浩一郎, 石井 和克, 須川 成利

    知財管理 56 (8) 1137-1147 2006/08

  527. Formation of Metal-Ferroelectric-Insulator-Si Structure Device with Large Memory Window by Supplying Ion Bombardment Energy in Rf-Sputtering Plasma Peer-reviewed

    Ichirou Takahashi, Tatsunori Isogai, Keita Azumi, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    15th International Symposium on the Applications of Ferroelectrics 242 2006/08

  528. Control of nitrogen depth profile near silicon oxynitride/Si(100) interface formed by radical nitridation Peer-reviewed

    Kazumasa Kawase, Tomoyuki Suwa, Masaaki Higuchi, Hiroshi Umeda, Masao Inoue, Shimpei Tsujikawa, Akinobu Teramoto, Takeo Hattori, Shigetoshi Sugawa, Tadahiro Ohmi

    Japanese Journal of Applied Physics 45 (8A) 6203-6209 2006/08

    DOI: 10.1143/JJAP.45.6203  

    ISSN: 0021-4922

  529. The Dependence of Remaining Carbon in the Electrical Property of the Gate Insulator Film on SiC at Low Temperature Insulator Formation Peer-reviewed

    Koutarou Tanaka, Hiroaki Tanaka, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    2006 Asia-Pacific Workshop on Fundamental and Application of Advanced Semiconductor Devices 155-159 2006/07

  530. A NOVEL PRODUCTION SYSTEM FOR A WIDE-VARIETY-SMALL-VOLUME PRODUCTION Peer-reviewed

    Nobuo NAKATSUKA, Takaaki HOTTA, Katsumi MASAKI, Hiroki KAMODA, Kuniaki TANAKA, Shigetoshi SUGAWA

    International Symposium on Flexible Automation 458-460 2006/07

  531. The dependence of the intermediate nitridation states density at Si3N4/Si interface on surface Si atoms density Peer-reviewed

    Masaaki Higuchi, Seiji Shinagawa, Akinobu Teramoto, Hiroshi Nohira, Takeo Hattori, Eiji Ikenaga, Shigetoshi Sugawa, Tadahiro Ohmi

    Asia-Pacific Workshop on Fundamental and Application of Advanced Semiconductor Devices 106 (137) 265-270 2006/07

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    We clarify the difference of intermediate nitridation states density of Si for Si_3N_4 films formed on Si(100), Si(110) and Si(111). The Si_3N_4 films were grown by NH* in microwave excited high-density plasma. The intermediate nitridation states density of Si for Si_3N_4 films were calculated by measuring 1050eV photon excited Si 2p photoelectron spectra with energy resolution of 100meV at soft-x-ray undulator beam line of SPring-8. It is found that the intermediate nitridation states density of Si for Si_3N_4 films formed on Si(100):5.06×10^<14> atoms/cm^2 is largest in those of Si for Si_3N_4 films formed on Si(100), Si(110) and Si(111). And it is found that the intermediate nitridation states density becomes reversely proportional to Si surface density. It is considered that the compressive stress of Si_3N_4 film becomes smaller, as the Si surface density becomes lager.

  532. Development of Production System using Inline Inspection within Processes to Eliminate Quality Inconsistencies Peer-reviewed

    N. Nakatsuka, T. Hotta, H. Kamoda, S. Sugawa

    2006 International Symposium on Flexible Automation 252-256 2006/07

  533. High Quality Gate Insulator Film Formation on SiC using by Microwave-Excited High-Density Plasma Peer-reviewed

    Koutarou Tanaka, Hiroaki Tanaka, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    14th Workshop on Dielectrics in Microelectronics 111-112 2006/06

  534. Relationship between Sr2(Ta1-x, Nbx)2O7 Crystal Phase and RF-Sputtering Plasma Condition for Metal-Ferroelectric-Insulator-Si Structure Device Formation Peer-reviewed

    L Takahashi, H Sakurai, T Isogai, M Hirayama, A Teramoto, S Sugawa, T Ohmi

    Japanese Journal of Applied Physics 45 (4B) 3207-3212 2006/04

    DOI: 10.1143/JJAP.45.3207  

    ISSN: 0021-4922

  535. A sensitivity and linearity improvement of a 100-dB dynamic range CMOS image sensor using a lateral overflow integration capacitor Peer-reviewed

    N Akahane, S Sugawa, S Adachi, K Mori, T Ishiuchi, K Mizobuchi

    IEEE JOURNAL OF SOLID-STATE CIRCUITS 41 (4) 851-858 2006/04

    DOI: 10.1109/JSSC.2006.870753  

    ISSN: 0018-9200

  536. Impact of improved high-performance Si(110)-oriented metal-oxide-semiconductor field-effect transistors using accumulation-mode fully depleted silicon-on-insulator devices Peer-reviewed

    Weitao Cheng, Akinobu Teramoto, Masaki Hirayama, Shigetoshi Sugawa, Tadahiro Ohmi

    Japanese Journal of Applied Physics 45 (4B) 3110-3116 2006/04

    DOI: 10.1143/JJAP.45.3110  

    ISSN: 0021-4922

  537. Statistical evaluation of very low gate leakage current for bit error evaluation in Flash Memory Peer-reviewed

    T. Suwa, S. Sugawa, H. Takahashi, A. Teramoto, T. Ohmi

    Transactions of the Materials Research Society of Japan 31 (1) 141-144 2006/03

  538. 多品種少量生産における品質管理と設備管理 Peer-reviewed

    中塚 信雄, 堀田 正明, 加守田 裕樹, 福田好朗, 須川成利

    日本設備管理学会誌 17 (4) 216-220 2006/02

  539. Capacitance-voltage measurement method for ultrathin gate dielectrics using LC resonance circuit Peer-reviewed

    A Teramoto, R Kuroda, M Komura, K Watanabe, S Sugawa, T Ohmi

    IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING 19 (1) 43-49 2006/02

    DOI: 10.1109/TSM.2005.863230  

    ISSN: 0894-6507

  540. A 200 dB Dynamic Range Iris-less CMOS Image Sensor with Lateral Overflow Integration Capacitor using Hybrid Voltage and Current Readout Operation Peer-reviewed

    Nana Akahane, Rie Ryuzaki, Satoru Adachi, Koichi Mizoubuchi, Shigetoshi Sugawa

    2006 IEEE International Solid-State Circuits Conference 300-301 2006/02

  541. Development and operation of a synchronized production system using a virtual production concept

    Nobuo Nakatsuka, Tadaaki Hotta, Hiroki Kamoda, Yoshiro Fukuda, Shigetoshi Sugawa

    Journal of Japan Industrial Management Association 57 (4) 272-277 2006

    ISSN: 1342-2618

  542. An over 200 dB dynamic range image capture using a CMOS image sensor with lateral overflow integration capacitor and current readout circuit in a pixel Peer-reviewed

    Nana Akahane, Rie Ryuzaki, Shigetoshi Sugawa, Satoru Adachi, Koichi Mizobuchi

    ICIS '06: INTERNATIONAL CONGRESS OF IMAGING SCIENCE, FINAL PROGRAM AND PROCEEDINGS 160-+ 2006

  543. Development and practical application of high-efficiency fire control system for the clean room Peer-reviewed

    Soji Fukuda, Tadahiro Ohmi, Shigetoshi Sugawa

    ISSM 2006 CONFERENCE PROCEEDINGS- 13TH INTERNATIONAL SYMPOSIUM ON SEMICONDUCTOR MANUFACTURING 243-246 2006

  544. Low voltage 3 V operation of ferroelectric multi-layer stack MFIS structure device formed by plasma physical vapor deposition and oxygen radical treatment Peer-reviewed

    Takahashi, I, H Sakurai, T Isogai, A Teramoto, S Sugawa, T Ohmi

    INTEGRATED FERROELECTRICS 81 47-55 2006

    DOI: 10.1080/10584580600657906  

    ISSN: 1058-4587

  545. High quality silicon nitride deposited by Ar/N-2/H-2/SiH4 high-density and low energy plasma at low temperature Peer-reviewed

    CJ Zhong, H Tanaka, S Sugawa, T Ohmi

    MICROELECTRONICS JOURNAL 37 (1) 44-49 2006/01

    DOI: 10.1016/j.mejo.2005.06.007  

    ISSN: 0026-2692

  546. Accurate circuit performance prediction model and lifetime prediction method of NBT stressed devices for highly reliable ULSI circuits Peer-reviewed

    Rihito Kuroda, Kazufumi Watanabe, Akinobu Teramoto, Michihiko Mifuji, Takahisa Yamaha, Shigetoshi Sugawa, Tadahiro Ohmi

    2006 IEEE INTERNATIONAL CONFERENCE ON INTEGRATED CIRCUIT DESIGN AND TECHNOLOGY, PROCEEDINGS 199-202 2006

  547. A novel production system for wide-variety-small-volume production - Modular structure and production platform hierarchy Peer-reviewed

    Hiroki Kamoda, Tadaaki Hotta, Nobuo Nakatsuka, Shigetoshi Sugawa

    2006 IEEE INTERNATIONAL CONFERENCE ON MANAGEMENT OF INNOVATION AND TECHNOLOGY, VOLS 1 AND 2, PROCEEDINGS 858-+ 2006

  548. Wide dynamic range CMOS image sensors for high quality digital camera, security, automotive and medical applications Peer-reviewed

    Nana Akahane, Shigetoshi Sugawa, Satoru Adachi, Koichi Mizobuchi

    2006 IEEE SENSORS, VOLS 1-3 396-399 2006

    DOI: 10.1109/ICSENS.2007.355489  

    ISSN: 1930-0395

  549. Improving multi-context execution speed on DRFPGAs Peer-reviewed

    Md. Ashfaquzzaman Khan, Naoto Miyamoto, Roel Pantonial, Koji Kotani, Shigetoshi Sugawa, Tadahiro Ohmi

    2006 IEEE Asian Solid-State Circuits Conference, ASSCC 2006 275-278 2006

    DOI: 10.1109/ASSCC.2006.357904  

  550. Effect of power density on the structure properties of microcrystalline silicon film prepared by high-density low-ion-energy microwave plasma Peer-reviewed

    CH Zhong, H Tanaka, S Sugawa, T Ohmi

    THIN SOLID FILMS 493 (1-2) 54-59 2005/12

    DOI: 10.1016/j.tsf.2005.06.047  

    ISSN: 0040-6090

  551. Geometry and bias dependence of low-frequency random telegraph signal and 1/f noise levels in mosfets Peer-reviewed

    M Toita, LKJ Vandamme, S Sugawa, A Teramoto, T Ohmi

    FLUCTUATION AND NOISE LETTERS 5 (4) L539-L548 2005/12

    DOI: 10.1142/S0219477505002999  

    ISSN: 0219-4775

  552. Hydrogen termination of Si(110) surfaces upon wet cleaning revealed by highly resolved scanning tunneling microscopy Peer-reviewed

    K Arima, J Katoh, S Horie, K Endo, T Ono, S Sugawa, H Akahori, A Teramoto, T Ohmi

    JOURNAL OF APPLIED PHYSICS 98 (10) 103525 1-8 2005/11

    DOI: 10.1063/1.2136214  

    ISSN: 0021-8979

    eISSN: 1089-7550

  553. High resolution X-ray photoelectron spectroscopy study on Si3N4/Si interface structures and its correlation with hysteresis in C-V curves Peer-reviewed

    M. Higuchi, A. Teramoto, M. Komura, S. Shinagawa, E. Ikenaga, H. Nohira, K. Kobayashi, T. Hattori, S. Sugawa, T. Ohmi

    The 208th Electrochemical Society Meeting 748 2005/10

  554. A High S/N Ratio CMOS Image Sensor with Column Parallel A/D Converter for Object Extraction Peer-reviewed

    T.Tate, S.Sugawa, K.Kotani, T.Ohmi

    PROCEEDINGS OF THE 3RD STUDENT-ORGANIZING INTERNATIONAL MINI-CONFERENCE ON INFORMATION ELECTRONICS SYSTEM 115-117 2005/10

  555. New NBTI Lifetime Prediction Method for Ultra Thin SiO2 Films Peer-reviewed

    K.Watanabe, R.Kuroda, A.Teramoto, S.Sugawa, T.Ohmi

    ECS Transactions Physics and Chemistry of SiO2 and the Si-SiO2 Interface-5 1 (1) 147-160 2005/10

    ISSN: 1938-5862

    eISSN: 1938-6737

  556. High resolution X-ray photoelectron spectroscopy study on Si3N4/Si interface structures and its correlation with hysteresis in C-V curves Peer-reviewed

    M.Higuchi, A.Teramoto, M.Komura, S.Shinagawa, E.Ikenaga, H.Nohira, K.Kobayashi, T.Hattori, S.Sugawa, T.Ohmi

    ECS Transactions Physics and Chemistry of SiO2 and the Si-SiO2 Interface-5 1 (1) 267-276 2005/10

  557. A NEW NBTI LIFETIME PREDICTION METHOD FOR PMOSFETS WITH ULTRA THIN GATE FILMS Peer-reviewed

    R.Kuroda, K.Watanabe, A.Teramoto, S.Sugawa, T.Ohmi

    PROCEEDINGS OF THE 3RD STUDENT-ORGANIZING INTERNATIONAL MINI-CONFERENCE ON INFORMATION ELECTRONICS SYSTEM 127-130 2005/10

  558. High Quality Si3N4 Gate Dielectric For Sub-100nm ULSI Devices Peer-reviewed

    M.Higuchi, A.Teramoto, M.Komura, S.Shinagawa, E.Ikenaga, H.Nohira, K.Kobayashi, T.Hattori, S.Sugawa, T.Ohmi

    PROCEEDINGS OF THE 3RD STUDENT-ORGANIZING INTERNATIONAL MINI-CONFERENCE ON INFORMATION ELECTRONICS SYSTEM 143-146 2005/10

  559. High Performance CMOS Devices Using Accumulation-Mode Fully Depleted SOI MOSFETs for Analog Integrated Circuits Peer-reviewed

    C.Weitao, A.Teramoto, M.Hirayama, S.Sugawa, T.Ohmi

    PROCEEDINGS OF THE 3RD STUDENT-ORGANIZING INTERNATIONAL MINI-CONFERENCE ON INFORMATION ELECTRONICS SYSTEM 151-154 2005/10

  560. Geometry and Bias Dependency of Low-Frequency Random Telegraph Signal and 1/F Noise Levels in MOSFETs Peer-reviewed

    M.Toita, L.K.J.Vandamme, S.Sugawa, A.Teramoto, T.Ohmi

    20th Annual Meeting of Japanese Association for Science, Art and Technology of Fluctuations 24-26 2005/09

  561. Study of the Metal-Ferroelectric-Insulator-Si Structure Device Formation by Controlling Properties of High Frequency and Microwave Excited Plasma Peer-reviewed

    I.Takahashi, H.Sakurai, T.Isogai, A.Teramoto, S.Sugawa, T.Ohmi

    the 2005 International Conference on Solid State Devices and Materials 1034-1035 2005/09

  562. Damage-Free Microwave-Excited Plasma Contact Hole Etching without Carrier Deactivation at the Interface between Silicide and Heavily-Doped Si Peer-reviewed

    T.Goto, M.Terasaki, H.Asahara, H.Nakazawa, A. Inokuchi, J.Yamanaka, A.Teramoto, M.Hirayama, S.Sugawa, T.Ohmi

    he 2005 International Conference on Solid State Devices and Materials 2005 536-537 2005/09

  563. Impact of The Improved High Performance Si(110) Oriented MOSFETs by Using Accumulation-Mode Fully Depleted SOI Devices Peer-reviewed

    C.Weitao, A.Teramoto, M.Hirayama, S.Sugawa, T. Ohmi

    the 2005 International Conference on Solid State Devices and Materials 2005 258-259 2005/09

  564. Improvement of the electrical properties of PECVD silicon oxide using high-density and low-ion-energy plasma post-treatment Peer-reviewed

    CH Zhong, H Tanaka, S Sugawa, T Ohmi

    JOURNAL OF NON-CRYSTALLINE SOLIDS 351 (27-29) 2232-2237 2005/08

    DOI: 10.1016/j.jnoncrysol.2005.06.016  

    ISSN: 0022-3093

  565. The Tolerance for FD Dark Current and PD Overflow Current Characteristics of Wide Dynamic Range CMOS Image Sensor Using a Lateral Overflow Integration Capacitor Peer-reviewed

    Satoru Adachi, Shigetoshi Sugawa, Nana Akahane, Kazuya Mori, Toshiyuki Ishiuchi, Koichi Mizobuchi

    2005 IEEE Workshop on Charge-Coupled Devices and Advanced Image Sensors 153-156 2005/06/09

  566. Impact of High Performance Accumulation-Mode Fully Depleted SOI MOSFETs Peer-reviewed

    Cheng Weitao, Akinobu Teramoto, Masaki Hirayama, Shigetoshi Sugawa, Tadahiro Ohmi

    2005 Asia-Pacific Workshop on fundamentals and Applications of Advanced Semiconductor Devices (AWAD2005) 59-62 2005/06

  567. Low Voltage 3V Operation of the Ferroelectric Multi-Layer Stack MFIS Structure Device Formed by Plasma Physical vapor Deposition and Oxygen Radical Treatment Peer-reviewed

    Ichirou Takahashi, Tatufumi Isogai, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    The 17th International Symposium on Integrated Ferroelectrics (ISIF 2005) 2005/04

  568. A high S/N ratio object extraction CMOS image sensor with column parallel signal processing Invited Peer-reviewed

    T Tate, S Sugawa, K Chiba, K Kotani, T Ohmi

    Japanese Journal of Applied Physics 44 (4B) 2093-2098 2005/04

    DOI: 10.1143/JJAP.44.2093  

    ISSN: 0021-4922

  569. EOT measurement for ultra-thin gate dielectrics using LC resonance circuit Peer-reviewed

    A.Teramoto, M.Komura, R.Kuroda, K.Watanabe, S.Sugawa, T.Ohmi

    International Conference on Microelectronic Test Structures 2005 223-227 2005/04

  570. A 100 dB Dynamic Range CMOS Image Sensor Using a Lateral Overflow Integration Capacitor Peer-reviewed

    Shigetoshi Sugawa, Nana Akahane, Satoru Adachi, Kazuya Mori, Toshiyuki Ishiuchi, Koichi Mizobuchi

    IEEE International Solid-State Circuits Conference 352-353 2005/02/08

  571. Statistical evaluation of very low gate leakage current for bit error evaluation in Flash Memory Peer-reviewed

    T. Suwa, S. Sugawa, H. Takahashi, A. Teramoto, T. Ohmi

    Symposium of the Materials Research Society of Japan 169 2005

  572. New NBTI Lifetime Prediction Method for Ultra Thin SiO2 Films Peer-reviewed

    K. Watanabe, R. Kuroda, A. Teramoto, S. Sugawa, T. Ohmi

    Electrochemical Society Meeting 738 2005

  573. A sensitivity and linearity improvement of a 100 dB dynamic range CMOS image sensor using a lateral overflow integration capacitor Peer-reviewed

    N Akahane, S Sugawa, S Adachi, K Mori, T Ishiuchi, K Mizobuchi

    2005 Symposium on VLSI Circuits, Digest of Technical Papers 62-65 2005

    DOI: 10.1109/VLSIC.2005.1469334  

  574. Accurate circuit performance prediction model and lifetime prediction method of NBT stressed devices for highly reliable ULSI circuits Peer-reviewed

    R Kuroda, K Watanabe, A Teramoto, M Mifuji, T Yamaha, S Sugawa, T Ohmi

    IEEE INTERNATIONAL ELECTRON DEVICES MEETING 2005, TECHNICAL DIGEST 717-720 2005

  575. リアルタイムオブジェクト分離を行なう高機能CMOSイメージセンサ Peer-reviewed

    須川成利, 舘知恭, 千葉浩児, 赤羽奈々, 小谷光司, 大見忠弘

    映像情報メディア学会誌 134-139 2004/11

  576. Accurate Temperature Drift model of MOSFETs Mobility for Analog Circuits Peer-reviewed

    Kazufumi Watanabe, Tatsufumi Hamada, Koji Kotani, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    The 2nd Student-Organizing International Mini-Conference on Information Electronics System (SOIM-COE04) 145-148 2004/10

  577. AN ADVANCED HIGH S/N RATIO CMOS IMAGE SENSOR WITH REAL TIME OBJECT CATEGORIZING FUNCTION Peer-reviewed

    Tomoyasu Tate, Shigetoshi Sugawa, Koji Chiba, Koji Kotani, Tadahiro Ohmi

    The 2nd Student-Organizing International Mini-Conference on Information Electronics System 149-151 2004/10

  578. A High S/N Ratio Object Extraction CMOS Image Sensor with Column Parallel Signal Processing Peer-reviewed

    Tomoyasu Tate, Shigetoshi Sugawa, Koji Chiba, Koji Kotani, Tadahiro Ohmi

    International Conference on SOLID STATE DEVICES AND MATERIALS 2004 354-355 2004/09

  579. MFIS-structure Memory Device with High Quality Ferroelectric Sr2 (Ta1-x, Nbx)2O7 Formed by Physical Vapor Deposition and Oxygen Radical Treatment by Oxygen Assisted Layer by Layer(ROALL) deposition Peer-reviewed

    Hiroyuki Sakurai, Ichirou Takahashi, Tatsunori Isogai, Kiyoshi Funaiwa, Tomoya Tsunoda, Tetsuya Goto, Masaki Hirayama, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    2004 International Conference on SOLID STATE DEVICES AND MATERIALS 2004 640-641 2004/09

  580. A Large-Signal MOSFET Model Based on Transient Carrier Response for RF Circuits Peer-reviewed

    Kazufumi Watanabe, Koji Kotani, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    International Conference on SOLID STATE DEVICES AND MATERIALS 2004 736-737 2004/09

  581. Accurate temperature drift model of MOSFETs mobility for analog circuits Peer-reviewed

    K Watanabe, T Hamada, K Kotani, A Teramoto, S Sugawa, T Ohmi

    SIMULATION OF SEMICONDUCTOR PROCESSES AND DEVICES 2004 291-294 2004/09

  582. Low-temperature growth (400℃) of high-integrity thin silicon-oxynitride films by microwave-excited high-density Kr-O-2-NH3 plasma Peer-reviewed

    K Ohtsubo, Y Saito, M Hirayama, S Sugawa, H Aharoni, T Ohmi

    IEEE TRANSACTIONS ON PLASMA SCIENCE 32 (4) 1747-1751 2004/08

    DOI: 10.1109/TPS.2004.833385  

    ISSN: 0093-3813

  583. Sub-micron MOSFETs Technology Characterization by Low-Frequency Noise Peer-reviewed

    M. Toita, S. Sugawa, A. Tetramoto, T. Ohmi

    3rd European Microelectronics and Packaging Symposium Proceedings 19-24 2004/06

  584. High-speed damage-free contact hole etching using dual shower head microwave-excited high-density-plasma equipment Peer-reviewed

    T Goto, H Yamauchi, T Kato, M Terasaki, A Teramoto, M Hirayama, S Sugawa, T Ohmi

    Japanese Journal of Applied Physics 43 (4B) 1784-1787 2004/04

    DOI: 10.1143/JJAP.43.1784  

    ISSN: 0021-4922

  585. A low-dielectric-constant Sr-2(Ta1-x,Nb-x)(2)O-7 thin film controlling the crystal orientation on an IrO2 substrate for one-transistor-type ferroelectric memory device Peer-reviewed

    Takahashi, I, H Sakurai, A Yamada, K Funaiwa, T Goto, M Hirayama, A Teramoto, S Sugawa, T Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS 43 (4B) 2194-2198 2004/04

    DOI: 10.1143/JJAP.43.2194  

    ISSN: 0021-4922

  586. MFIS structure device with a low dielectric constant ferroelectric Sr-2(Ta1-x,Nb-x)(2)O-7 formed by plasma physical vapor deposition and oxygen radical treatment Peer-reviewed

    Takahashi, I, H Sakurai, T Isogai, K Funaiwa, M Hirayama, A Teramoto, S Sugawa, T Ohmi

    INTEGRATED FERROELECTRICS 65 29-38 2004/01

    DOI: 10.1080/10584580490892665  

    ISSN: 1058-4587

  587. A Low Dielectric Constant Sr2(Ta1-x, Nbx)2O7 Thin Film Controlling the Crystal Orientation on IrO2 Substrate for One Transistor Type Ferroelectric Memory Device Peer-reviewed

    Ichirou Takahashi, Hiroyuki Sakurai, Atsuhiro Yamada, Tetsuya Goto, Masaki Hirayama, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    the 2003 International Conference on SOLID STATE DEVICES AND MATERIALS 36-37 2003/09

  588. Very High Reliability of Ultrathin Silicon Nitride Gate Dielectric Film for Sub-100nm Generation Peer-reviewed

    Masanori Komura, Masaaki Higuchi, Weitao Cheng, Ichiro Ohshima, Akinobu Teramoto, Masaki Hirayama, Shigetoshi Sugawa, Tadahiro Ohmi

    the 2003 International Conference on SOLID STATE DEVICES AND MATERIALS 452-453 2003/09

  589. Atomic Order Flattening of Hydrogen-Terminated Si (110) substrate For Next Generation ULSI Devices Peer-reviewed

    Hiroshi Akahori, Keiichi Nii, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    the 2003 International Conference on SOLID STATE DEVICES AND MATERIALS 458-459 2003/09

  590. High Performance Poly-Si Device with Thin Gate Oxide Film Grown by Plasma Oxidation Technology Peer-reviewed

    Fuminobu Imaizumi, Tomohiko Hayashi, Katsuji Ishii, Akinobu Teramoto, Masaki Hirayama, Shigetoshi Sugawa, Tadahiro Ohmi

    the 2003 International Conference on SOLID STATE DEVICES AND MATERIALS 724-725 2003/09

  591. High Quality Silicon Nitride Film Formed by Microwave-Excited Plasma Enhanced Chemical Vapor Deposition with Dual Gas Shower Head Peer-reviewed

    Hiroaki Tanaka, Zhong Chuanjie, Yukio Hayakawa, Masaki Hirayama, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    the 2003 International Conference on SOLID STATE DEVICES AND MATERIALS 736-737 2003/09

  592. High-Speed Damage-Free Contact Hole Etching using Dual Shower Head Microwave-Excited High-Density Plasma Equipment Peer-reviewed

    Tetsuya Goto, Hiroshi Yamauchi, Takeyoshi Kato, Akinobu Teramoto, Masaki Hirayama, Shigetoshi Sugawa, Tadahiro Ohmi

    the 2003 International Conference on SOLID STATE DEVICES AND MATERIALS 744-745 2003/09

  593. Oxygen radical treatment applied to ferroelectric thin films Peer-reviewed

    Takahashi, I, H Sakurai, A Yamada, K Funaiwa, K Hirai, S Urabe, T Goto, M Hirayama, A Teramoto, S Sugawa, T Ohmi

    APPLIED SURFACE SCIENCE 216 (1-4) 239-245 2003/06

    DOI: 10.1016/S0169-4332(03)00424-0  

    ISSN: 0169-4332

  594. Reliability of silicon nitride gate dielectrics grown at 400℃ formed by microwave-excited high-density plasma Peer-reviewed

    Ohshima, I, WT Cheng, Y Ono, M Higuchi, M Hirayama, A Teramoto, S Sugawa, T Ohmi

    APPLIED SURFACE SCIENCE 216 (1-4) 246-251 2003/06

    DOI: 10.1016/S0169-4332(03)00423-9  

    ISSN: 0169-4332

  595. 数値制御プラズマCVM(Chemical Vaporization Machining)によるSOIの薄膜化: デバイス用基板としての加工面の評価 Peer-reviewed

    森勇蔵, 佐野泰久, 山村和也, 森田諭, 森田瑞穂, 大島一郎, 齊藤裕司, 須川成利, 大見忠弘

    精密工学会誌 69 (5) 721-725 2003/05

    DOI: 10.2493/jjspe.69.721  

  596. A High S/N Ratio CMOS Image Sensor with Real Time Object Categorizing Function Peer-reviewed

    Shigetoshi Sugawa, Tomoyasu Tate, Koji Chiba, Koji Kotani, Tadahiro Ohmi

    2003 IEEE Workshop on Charge-Coupled Devices and Advanced Image Sensors 2003/05

  597. A still-image encoder based on adaptive resolution vector quantization featuring needless calculation elimination architecture Peer-reviewed

    M Fujibayashi, T Nozawa, T Nakayama, K Mochizuki, M Konda, K Kotani, S Sugawa, T Ohmi

    IEEE JOURNAL OF SOLID-STATE CIRCUITS 38 (5) 726-733 2003/05

    DOI: 10.1109/JSSC.2003.810064  

    ISSN: 0018-9200

  598. A new microwave-excited plasma etching equipment for separating plasma excited region from etching process region Peer-reviewed

    T Goto, M Hirayama, H Yamauchi, M Moriguchi, S Sugawa, T Ohmi

    Japanese Journal of Applied Physics 42 (4B) 1887-1891 2003/04

    DOI: 10.1143/JJAP.42.1887  

    ISSN: 0021-4922

  599. High-quality silicon oxide film formed by diffusion region plasma enhanced chemical vapor deposition and oxygen radical treatment using microwave-excited high-density plasma Peer-reviewed

    H Tanaka, Z Chuanjie, Y Hayakawa, M Hirayama, A Teramoto, S Sugawa, T Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS 42 (4B) 1911-1915 2003/04

    DOI: 10.1143/JJAP.42.1911  

    ISSN: 0021-4922

  600. Ferroelectric Sr-2(Ta1-x, Nb-x)(2)O-7 with a low dielectric constant by plasma physical vapor deposition and oxygen radical treatment Peer-reviewed

    Takahashi, I, H Sakurai, A Yamada, K Funaiwa, K Hirai, S Urabe, T Goto, M Hirayama, A Teramoto, S Sugawa, T Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS 42 (4B) 2050-2054 2003/04

    DOI: 10.1143/JJAP.42.2050  

    ISSN: 0021-4922

  601. A technology for reducing flicker noise for ULSI applications Peer-reviewed

    K Tanaka, K Watanabe, H Ishino, S Sugawa, A Teramoto, M Hirayama, T Ohmi

    Japanese Journal of Applied Physics 42 (4B) 2106-2109 2003/04

    DOI: 10.1143/JJAP.42.2106  

    ISSN: 0021-4922

  602. A still image encoder based on adaptive resolution vector quantization employing needless calculation elimination architecture Peer-reviewed

    M Fujibayashi, T Nozawa, T Nakayama, K Mochizuki, K Kotani, S Sugawa, T Ohmi

    ASP-DAC 2003: PROCEEDINGS OF THE ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE 567-568 2003

    DOI: 10.1109/ASPDAC.2003.1195081  

  603. 1/f noise degradation caused by Fowler-Nordheim tunneling stress in MOSFETs Peer-reviewed

    M Toita, T Sugawa, A Teramoto, T Akaboshi, H Imai, T Ohmi

    41ST ANNUAL PROCEEDINGS: INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM 313-317 2003

    DOI: 10.1109/RELPHY.2003.1197764  

  604. Application of hydrogenated water to united water supply system for high performance and step-by-step investment type system LSI manufacturing fab Peer-reviewed

    Yokoi, I, Y Yamazaki, S Sugawa, T Ohmi

    2003 IEEE INTERNATIONAL SYMPOSIUM ON SEMICONDUCTOR MANUFACTURING 2003 14-17 2003

  605. Low noise balanced-CMOS on Si(110) surface for analog/digital mixed signal circuits Peer-reviewed

    A Teramoto, T Hamada, H Akahori, K Nii, T Suwa, K Kotani, A Hirayama, S Sugawa, T Ohmi

    2003 IEEE INTERNATIONAL ELECTRON DEVICES MEETING, TECHNICAL DIGEST 801-804 2003

  606. Organic contamination behavior on the silicon wafer surface stored in new type plastic pod under reduced pressure Peer-reviewed

    Teruyuki Hayashi, Takashi Kawaguchi, Yukihiro Kanechika, Naoki Tanahashi, Misako Saito, Kaname Suzuki, Yoshihide Wakayama, Masaki Hirayama, Yasuyuki Shirai, Shigetoshi Sugawa, Tadahiro Ohmi

    2002 IEEE International Symposium on Semiconductor Manufacturing 169-172 2002/10

  607. Oxygen Radical Annealing Applied to Ferroelectric Thin Films Peer-reviewed

    Ichirou Takahashi, Hiroyuki Sakurai, Atsuhiko Yamada, Kiyoshi Funaiwa, Kentaro Hirai, Shinichi Urabe, Tetsuya Goto, Masaki Hirayama, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    Fourth International Symposium on Control of Semiconductor Interfaces (ISCSI-4) A4-6 2002/10

  608. Highly Reliable Silicon Nitride Gate Dielectrics Grown at Low Temperature by Microwave-Excited High-Density Plasma Peer-reviewed

    Ichiro Ohshima, Weitao Cheng, Masaki Hirayama, Akinobu Teramoto, Hiroyuki Shimada, Yasuhiro Ono, Shigetoshi Sugawa, Tadahiro Ohmi

    Fourth International Symposium on Control of Semiconductor Interfaces(ISCSI-4) A5-2 2002/10

  609. A New Microwave-Excited Plasma Etching Equipment separated Plasma Exicited Region from Etchikg Process Region Peer-reviewed

    Tetsuya Goto, Masaki Hirayama, Makoto Moriguchi, Shigetoshi Sugawa, Tadahiro Ohmi

    International SOLID STATE DEVICES AND MATERIALS 444-445 2002/09

  610. A Ferroelectric Sr2(Ta1-x,Nbx)2O7 with a Low Dielectric Constant by Plasma PVD and Oxygen Radical Annealing Peer-reviewed

    Ichirou Takahashi, Hiroyuki Sakurai, Atsuhiro Yamada, Kiyoshi Funaiwa, Kentaro Hirai, Shinichi Urabe, Tetsuya Goto, Masaki Hirayama, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    International SOLID STATE DEVICES AND MATERIALS 618-619 2002/09

  611. A Technology of reducing Flicker Noise for ULSI application Peer-reviewed

    Koutarou Tanaka, Kazufumi Watanabe, Hideki Ishino, Shigetoshi Sugawa, Akinobu Teramoto, Masaki Hirayama, Tadahiro Ohmi

    International SOLID STATE DEVICES AND MATERIALS 702-703 2002/09

  612. High Quality Silicon Oxide Film Formed by Diffusion Region PECVD and Oxygen Radical Treatment using Microwave-Excited High-Density Plasma Peer-reviewed

    Hiroaki Tanaka, Zhong Chuanjie, Masaki Hirayama, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    International Conference on Solid State Devices and Materials 424-425 2002/09

  613. Analysis of high-speed signal behavior in a miniaturized interconnect Peer-reviewed

    A Morimoto, K Kotani, K Takahashi, S Sugawa, T Ohmi

    IEICE TRANSACTIONS ON ELECTRONICS E85C (5) 1111-1118 2002/05

    ISSN: 0916-8524

    eISSN: 1745-1353

  614. The Growth of Thin Oxide and Nitride Films at Low Temperatures for Semiconductor Device Fabrication by Microwave-Excited High-Density Plasma Peer-reviewed

    Yuji Saito, Katsuyuki Sekine, Ryu Kaihara, Masaki Hirayama, Shigetoshi Sugawa, Herzl Aharoni, Tadahiro Ohmi

    The 10th Israel Materials Engineering Conference 60 2002/02

  615. 小規模生産ラインとクリーン化技術 Peer-reviewed

    大見 忠弘, 須川 成利, 岸田 好晴

    空気清浄 39 (5) 270-281 2002/01

  616. A still image encoder based on adaptive resolution vector quantization realizing compression ratio over 1/200 featuring needless calculation elimination architecture Peer-reviewed

    M Fujibayashi, T Nozawa, T Nakayama, K Mochizuki, M Konda, K Kotani, S Sugawa, T Ohmi

    2002 SYMPOSIUM ON VLSI CIRCUITS, DIGEST OF TECHNICAL PAPERS 262-265 2002

  617. Low temperature growth (400 degrees C) of high-integrity thin silicon-oxynitride films by microwave-excited high-density Kr/O-2/NH3 plasma Peer-reviewed

    K Ohtsubo, Y Saito, M Hirayama, S Sugawa, H Aharoni, T Ohmi

    22ND CONVENTION OF ELECTRICAL AND ELECTRONICS ENGINEERS IN ISRAEL, PROCEEDINGS 166-169 2002

    DOI: 10.1109/EEEI.2002.1178381  

  618. Advantage of Silicon Nitride Gate Insulator Transistor by using Microwave-Excited High-Density Plasma for applying 100nm Technology Node Peer-reviewed

    Shigetoshi Sugawa, Ichiro Ohshima, Hideaki Ishino, Yuji Saito, Masaki Hirayama, Tadahiro Ohmi

    2001 IEEE International Electron Devices meeting 37.3.1-37.3.4 2001/12

  619. Improving the reliability and the insulation properties of gate oxide in the gate injection mode by using a new procedure of (100) Si surface and Si/SiO2 interface treatments Peer-reviewed

    T Ohkawa, O Nakamura, S Sugawa, H Aharoni, T Ohmi

    IEEE TRANSACTIONS ON ELECTRON DEVICES 48 (12) 2957-2959 2001/12

    DOI: 10.1109/16.974737  

    ISSN: 0018-9383

  620. (100) and (111)Si MOS transistors fabricated with low growth temperature (400 degrees C) gate oxide by Kr/O-2 microwave-excited high-density plasma Peer-reviewed

    T Hamada, Y Saito, M Hirayama, S Sugawa, H Aharoni, T Ohmi

    IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING 14 (4) 418-420 2001/11

    DOI: 10.1109/66.964329  

    ISSN: 0894-6507

  621. The Effect of Organic Contaminations Molecular Weights in the Cleanroom Air on MOS Devices Degradation-a Controlled Laminar Air Flow Experiment Peer-reviewed

    Takeshi Ohkawa, Yoshihide Wakayama, Sadao Kobayashi, Shigetoshi Sugawa, Herzl Aharoni, Tadahiro Ohmi

    SOLID STATE DEVICES AND MATERIALS 2001 24-25 2001/09

  622. Improved J-E Characteristics and Stress Induced Leakage Currents (SILC) in oxynitride Films Grown at 400℃ by Microwave-Excited High-Density Kr/O2/NH3 Plasma Peer-reviewed

    Kazuo Ohtsubo, Yuji Saito, Masaki Hirayama, Shigetoshi Sugawa, Herzl Aharoni, Tadahiro Ohmi

    SOLID STATE DEVICES AND MATERIALS 2001 162-163 2001/09

  623. Highly Reliable MOS Trench Gate FET by Oxygen Radical Oxidation Peer-reviewed

    Naoki Ueda, Yuji Saito, Masaki Hirayama, Yoshimitsu Yamauchi, Shigetoshi Sugawa, Tadahiro Ohmi

    SOLID STATE DEVICES AND MATERIALS 2001 164-165 2001/09

  624. Improved Transconductance and Gate Insulator Integrity OF MISFETs with Si3N4 Gate Dielectric Fablicated by Microwave-Excited High-Density Plasma at 400℃ Peer-reviewed

    Ichiro Ohshima, Hiroyuki Shimada, Shin-Ichi Nakao, Weitao Cheng, Yasuhiro Ono, Masaki Hirayama, Shigetoshi Sugawa, Herzl Aharoni, Tadahiro Ohmi

    SOLID STATE DEVICES AND MATERIALS 2001 168-169 2001/09

  625. A Comparative Examination of Polyoxide Films Performance Grown by Conventional Dry Thermal (900℃) or Plasma Assisted (400℃) Oxidation Techniques Peer-reviewed

    Fuminobu Imaizumi, Tatsufumi Hamada, Shigetoshi Sugawa, Herzl Aharoni, Tadahiro Ohmi

    SOLID STATE DEVICES AND MATERIALS 2001 170-171 2001/09

  626. Tantalum nitride metal gate FD-SOI CMOS FETs using low resistivity self-grown bcc-tantalum layer Peer-reviewed

    H Shimada, Ohshima, I, T Ushiki, S Sugawa, T Ohmi

    IEEE TRANSACTIONS ON ELECTRON DEVICES 48 (8) 1619-1626 2001/08

    DOI: 10.1109/16.936572  

    ISSN: 0018-9383

  627. Advances in CFM Related to 300mm Pocessing - Low Temperature Radical Induced Surface Oxidation, Nitridation and Oxinitridation Based on Low Electron Temperature High Density Plasma Peer-reviewed

    Tadahiro Ohmi, Shigetoshi Sugawa

    SEMICON West 2001 SEMI Technical Symposium(STS):Innovations in Semiconductor Manufacturing 349-396 2001/06

  628. A 3.25M-pixel APS-C size CMOS Image Sensor Peer-reviewed

    Shunsuke Inoue, Katsuhito Sakurai, Isamu Ueno, Toru Koizumi, Hiroki Hiyama, Tetsuo Asaba, Shigetoshi Sugawa, Atsushi Maeda, Keiichi Higashitani, Hisayuki Kato, Koji Iizuka, Masao Yamawaki

    2001 IEEE Workshop on Charge-Coupled Devices and Advanced Image Sensors 16-19 2001/06

  629. Interconnect and substrate structure for gigascale integration Peer-reviewed

    A Morimoto, K Kotani, S Sugawa, T Ohmi

    Japanese Journal of Applied Physics 40 (4B) 3038-3043 2001/04

    DOI: 10.1143/JJAP.40.3038  

    ISSN: 0021-4922

  630. High Integrity Direct Oxidation / Nitridation at Low Temperatures using Radicals Invited Peer-reviewed

    Tadahiro Ohmi, Shigetoshi Sugawa, Masaki Hirayama

    The 199th Meeting of The Electrochemical Society 2001-1 No. 270 2001/03

  631. New paradigm of silicon technology Peer-reviewed

    T Ohmi, S Sugawa, K Kotani, M Hirayama, A Morimoto

    PROCEEDINGS OF THE IEEE 89 (3) 394-412 2001/03

    DOI: 10.1109/5.915381  

    ISSN: 0018-9219

  632. Improvement of MOSFET subthreshold leakage current by its irradiation with hydrogen radicals generated in microwave-excited high-density inert gas plasma Peer-reviewed

    Y Saito, H Takahashi, K Ohtsubo, M Hirayama, S Sugawa, H Aharoni, T Ohmi

    39TH ANNUAL PROCEEDINGS: INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM 2001 319-326 2001

    DOI: 10.1109/RELPHY.2001.922922  

  633. Low resistivity bcc-Ta/TaNx metal gate MNSFETs having plane gate structure featuring fully low-temperature processing below 450 degrees C Peer-reviewed

    H Shimada, Ohshima, I, S Nakao, M Nakagawa, K Kanemoto, M Hirayama, S Sugawa, T Ohmi

    2001 SYMPOSIUM ON VLSI TECHNOLOGY, DIGEST OF TECHNICAL PAPERS 67-68 2001

  634. Energy saving in semiconductor fabs by using vacuum insulator Peer-reviewed

    T Ohmura, O Suenaga, T Ohmi, M Wadasako, T Ohta, S Sugawa

    2001 IEEE INTERNATIONAL SYMPOSIUM ON SEMICONDUCTOR MANUFACTURING 2001 477-480 2001

  635. A parallel vector-quantization processor eliminating redundant calculations for real-time motion picture compression Peer-reviewed

    T Nozawa, M Konda, M Fujibayashi, M Imai, K Kotani, S Sugawa, T Ohmi

    IEEE JOURNAL OF SOLID-STATE CIRCUITS 35 (11) 1744-1751 2000/11

    DOI: 10.1109/4.881222  

    ISSN: 0018-9200

  636. Si technology and Devices for the 21st Century Invited Peer-reviewed

    Tadahiro Ohmi, Shigetoshi Sugawa

    Proceedings of the 3rd International Symposium on Advanced Science and technology of Silicon Materials 1-6 2000/11

  637. High-Reliability Ultra-Thin Gate Oxide Grown At Low-Temperature (400℃) Using Microwave-Excited High-Density Krypton Plasma Peer-reviewed

    Y.Saito, K.Sekine, M.Hirayama, S.Sugawa, H.Aharoni, T.Ohmi

    International Conference on Materials Science and Technologies 43 2000/11

  638. Low Temperature (400℃) Improved Ultra-Thin Oxynitride Films for MOS Gate Insulators Peer-reviewed

    Kazuo Ohtsubo, Yuji Saito, Katsuyuki Sekine, Masaki Hirayama, Shigetoshi Sugawa, Herzl Aharoni, Tadahiro Ohmi

    International Conference on Materials Science and Technologies 123 2000/11

  639. Microwave-Excited High-Density Plasma System for High-Quality Thin Dielectric Film Growth at Low-Temperatures Peer-reviewed

    Y.Saito, Katsuyuki Sekine, Masaki Hirayama, Shigetoshi Sugawa, Herzl Aharoni, Tadahiro Ohmi

    International Conference on Materials Science and Technologies 127 2000/11

  640. The Effect of Molecular Weight of Organic Contaminants on their Adsorption on Si-wafers Peer-reviewed

    Y.Wakayama, S.Kobayashi, T.Ishii, S.Sugawa, T.Ohmi

    AVS 47th International Symposium 232 2000/10

  641. Ultra-Thin Silicon Oxynitrude Film Grown at Low-Temperature by Microwave-Exited High-Density Kr/O2/N2 Plasma Peer-reviewed

    K.Ohtsubo, Y.Saito, K.Sekine, M.Hirayama, S.Sugawa, H.Aharoni, T.Ohmi

    International Conference on Solid State Device and Materials 176-177 2000/08

  642. Ultra-Low Standby Current in SOI-CMOS LSI Circuits by Using Body-Bias-Control Technology Peer-reviewed

    K.Higashi, T.Ohmi, A.O.Adan, H.Morimoto, K.Niimi, T.Ashida, S.Sugawa

    International Conference on Solid State Device and Materials 2000 376-377 2000/08

  643. Interconnect and Substrate Structure for High Speed Giga-Scale Integration Peer-reviewed

    A.Morimoto, K.Kotani, S.Sugawa, T.Ohmi

    International Conference on Solid State Device and Materials 2000 418-419 2000/08

  644. Low Resistivity PVD TaNx/Ta/TaNx Stacked Metal Gate CMOS Technology Using Self-Grown bcc-Phased Tantalum on TaNx Buffer Layer Peer-reviewed

    H.Shimada, I.Ohshima, T.Ushiki, S.Sugawa, T.Ohmi

    International Conference on Solid State Device and Materials 2000 460-461 2000/08

  645. The Effect of Organic Compounds Contamination on the Electrical Characteristics of Ultra-Thin Gate Oxide Films Peer-reviewed

    Y.Wakayama, T.Ohkawa, O.Nakamura, S.Kobayashi, S.Sugawa, H.Aharoni, T.Ohmi

    International Conference on Solid State Device and Materials 2000 550-551 2000/08

  646. CONTAMINATION REDUCTION FOR 300mm PROCESSCES Invited Peer-reviewed

    Tadahiro Ohmi, Shigetoshi Sugawa, Masaki Hirayama, Yasuyuki Shirai

    Symposium on Contamination-Free Manufacturing for Semiconductor Processing, SEMICON WEST 2000 A1-A5 2000/07

  647. Ultra Short TAT Semiconductor Manufacturing for Customer's Needs Invited Peer-reviewed

    Tadahiro Ohmi, Shigetoshi Sugawa

    The IT Revolution in Japan and Taiwan, Direction for the 21st Century 128-132 2000/03

  648. マイクロ波励起低温高密度プラズマプロセス

    大見忠弘, 須川成利

    真空 43 (9) 883-890 2000

  649. Low-temperature formation of silicon oxide films by using microwave-excited Kr/O2 Plasma Peer-reviewed

    OHMI Tadahiro, SUGAWA Shigetoshi, HIRAYAMA Masaki, SAITO Yuji

    OYOBUTURI 69 (10) 1200-1204 2000

    Publisher: The Japan Society of Applied Physics

    DOI: 10.11470/oubutsu1932.69.1200  

    ISSN: 0369-8009

  650. ノイズ除去用CMOS反転アンプ形フレームメモリーを搭載したCMOSエリア形オートフォーカスセンサ Peer-reviewed

    高橋秀和, 篠原真人, 須川成利

    映像情報メディア学会誌 54 (2) 229-241 2000

    DOI: 10.3169/itej.54.229  

  651. Advantage of radical oxidation for improving reliability of ultra-thin gate oxide Peer-reviewed

    Y Saito, K Sekine, N Ueda, M Hirayama, S Sugawa, T Ohmi

    2000 SYMPOSIUM ON VLSI TECHNOLOGY, DIGEST OF TECHNICAL PAPERS 176-177 2000

  652. Damage-free contact etching using balanced electron drift magnetron etcher Peer-reviewed

    R Kaihara, M Hirayama, S Sugawa, T Ohmi

    ISSM 2000: NINTH INTERNATIONAL SYMPOSIUM ON SEMICONDUCTOR MANUFACTURING, PROCEEDINGS 102-105 2000

    DOI: 10.1109/ISSM.2000.993626  

  653. Area Auto Focus CMOS Sensor Peer-reviewed

    Hidekazu Takahashi, Taku Ezaki, Mahito Shinohara, Shirou Furudate, Hiroyuki Nakamura, Toshihiko Ichise, Shigetoshi Sugawa

    1999 IEEE Workshop on Charge-Coupled Devices and Advanced Image Sensors 203-206 1999/06

  654. A bipolar image detector with smart functions Peer-reviewed

    M Shinohara, S Sugawa, Y Nakamura, T Ohmi

    IEEE TRANSACTIONS ON ELECTRON DEVICES 44 (10) 1769-1776 1997/10

    DOI: 10.1109/16.628835  

    ISSN: 0018-9383

  655. A bipolar imager with bipolar field memory Peer-reviewed

    Mahito Shinohara, Shigetoshi Sugawa, Yoshio Nakamura, Tadahiro Ohmi

    1997 IEEE Workshop on Charge-Coupled Devices and Advanced Image Sensors R8-1-R8-4 1997/06

  656. Amorphous avalanche photodiode with large conduction band edge discontinuity Peer-reviewed

    S Sugawa, H Kozuka, T Atoji, H Tokunaga, H Shimizu, K Ohmi

    JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS 35 (2B) 1014-1017 1996/02

    DOI: 10.1143/JJAP.35.1014  

    ISSN: 0021-4922

  657. An Amorphous Avalanche Photo-Diode with a Large Conduction band Edge Discontinuity Peer-reviewed

    Shigetoshi Sugawa, Hiraku Kozuka, Tadashi Atoji, Hiroyuki Tokunaga, Hisae Shimizu, Kazuaki Ohmi

    International Conference on Solid State Device and Materials 1995 938-940 1995/08

  658. An experimental observation of photo-induced carrier multiplication in hydrogenated amorphous silicon Peer-reviewed

    S. Sugawa, K. Ohmi, M. Yamanobe, Y. Osada

    Solid State Communications 80 (6) 373-376 1991

    DOI: 10.1016/0038-1098(91)90709-5  

    ISSN: 0038-1098

  659. A 310K PIXEL BIPOLAR IMAGER (BASIS) Peer-reviewed

    N TANAKA, S HASHIMOTO, M SHINOHARA, S SUGAWA, M MORISHITA, S MATSUMOTO, Y NAKAMURA, T OHMI

    IEEE TRANSACTIONS ON ELECTRON DEVICES 37 (4) 964-971 1990/04

    DOI: 10.1109/16.52431  

    ISSN: 0018-9383

  660. A 310K Pixel Bipolar Imager (BASIS) Peer-reviewed

    Nobuyoshi Tanaka, Seiji Hashimoto, Mahito Shinohara, Shigetoshi Sugawa, Masakazu Morishita, Shigeyuki Matsumoto, Yoshio Nakamura, Tadahiro Ohmi

    1989 IEEE International Solid-State Circuits Conference 96-97 1989/02

Show all ︎Show first 5

Misc. 270

  1. 高 SNR・高速グローバルシャッタ CMOS イメージセンサによるリアルタイム流体濃度分布計測

    間脇 武蔵, 村冨 孝輔, 荻野 晃汰, 酒井 勇志, 稲田 貴郁, 橋本 圭市, 森本 達郎, 諏訪 智之, 白井 泰雪, 須川 成利, 黒田 理人

    第 36 回マイクロエレクトロニクス研究会プロシーディング 29-34 2024/11

  2. 高速・高 SNR 吸光イメージングシステムによる真空チャンバ内のガス濃度分布計測および解析

    酒井勇志, 稲田貴郁, 間脇武蔵, 諏訪智之, 森本達郎, 白井泰雪, 須川成利, 黒田理人

    電子情報通信学会技術研究報告, シリコン材料・デバイス研究会 124 (222) 10-3 2024/10

  3. Impedance Measurement Platform Technology Toward Statistical Evaluation of Semiconductor Devices

    Koga Saito, Tatsuhiko Suzuki, Hidemi Mitsuda, Takezo Mawaki, Tomoyuki, Suwa, Akinobu Teramoto, Shigetoshi Sugawa, Rihito Kuroda

    33-39 2023/11

  4. Measurement of TEMAZ concentration in process chamber by UV absorption method

    Takafumi Inada, Yushi Sakai, Akihito Suto, Tatsuo Morimoto, Tomoyuki Suwa, Yasuyuki Shirai, Shigetoshi Sugawa, Rihito Kuroda

    29-31 2023/11

  5. 抵抗計測プラットフォームを用いた HfOx 膜抵抗変化の統計的計測

    光田薫未, 鈴木達彦, 齊藤宏河, 間脇武蔵, 須川成利, 黒田理人

    第 84 回応用物理学会秋季学術講演会 23a-A303-4 12-245 2023/09

  6. 電流計測プラットフォームを用いた高容量密度トレンチキャパシタのトラップ特性に関する統計的計測

    鈴木達彦, 齊藤宏河, 光田薫未, 間脇武蔵, 須川成利, 黒田理人

    第 84 回応用物理学会秋季学術講演会 23a-A303-3 12-244 2023/09

  7. インピーダンス計測プラットフォーム技術を用いた機能性薄膜に関する電気的特性の統計的計測 Invited

    齊藤宏河, 鈴木達彦, 光田薫未, 間脇武蔵, 諏訪智之, 寺本章伸, 須川成利, 黒田理人

    第 84 回応用物理学会秋季学術講演会 23a-A303-1 12-242 2023/09

  8. MONOS 型低温ポリシリコン TFT におけるしきい値電圧制御

    後藤 哲也, 諏訪 智之, 片山 慶太, 西田 脩, 池上 浩, 須川 成利

    第 84 回応用物理学会秋季学術講演会 20p-B201-7 12-088 2023/09

  9. イメージセンサ技術を究める

    須川成利

    東北大学電通談話会記録 91 (2) 11-27 2023/03

  10. MONOS 型ポリシリコン TFT でのしきい値電圧制御に関する検討

    後藤 哲也, 諏訪 智之, 須川 成利

    第 70 回応用物理学会春季学術講演会 講演予稿集 (15p-B410-5) 12-016 2023/03

  11. ランダムテレグラフノイズのMOSトランジスタ構造・動作条件依存性の統計的解析

    間脇武蔵, 黒田理人, 秋元瞭, 須川成利

    第28回電子デバイス界面テクノロジー研究会 141-145 2023/02

  12. インピーダンス計測プラットフォーム技術を用いたSiN膜中トラップ特性の統計的計測

    齊藤宏河, 鈴木達彦, 光田薫未, 間脇武蔵, 諏訪智之, 寺本章伸, 須川成利, 黒田理人

    第28回電子デバイス界面テクノロジー研究会 89-94 2023/02

  13. トリシランを用いたミニマルファブ向けポリシリコンゲート電極のCVD 成膜に関する検討

    後藤 哲也, 小林 誠二, タイ クオック クオン, 薮田 勇気, 須川 成利, 原 史朗

    第83回応用物理学会秋季学術講演会 講演予稿集 20p-A406-8 2022/09

  14. 近接容量センサを用いた高精度微小容量差計測

    渡部吉祥, 須釜侑希, 志波良信, 黒田理人, 白井泰雪, 須川成利

    映像情報メディア学会技術報告 46 (23) 71-76 2022/08

  15. 流体濃度分布その場計測へ向けた1000 fps高SNRグローバルシャッタCMOSイメージセンサ

    及川哲, 黒田理人, 髙橋圭吾, 志波良信, 藤原康行, 四家寛也, 村田真麻, 郭家祺, Yhang Ricardo, Sipauba Carvalho, da Silva, 後藤哲也, 諏訪智之, 森本達郎, 白井泰雪, 永瀬正明, 池田信一, 須川成利

    映像情報メディア学会技術報告 45 (30) 17-20 2021/10

  16. 大判12µm画素と高解像度2.8µm画素の高精度近接容量CMOSイメージセンサ

    須釜 侑希, 渡部 吉祥, 黒田 理人, 山本 将大, 後藤 哲也, 安田 俊朗, 村上 真一, 羽森 寛, 栗山 尚也, 須川 成利

    映像情報メディア学会技術報告 45 (30) 13-16 2021/10

  17. 電流計測プラットフォームを応用したSiN膜中トラップ放電電流の統計的計測

    齊藤 宏河, 鈴木 勇人, 朴 賢雨, 黒田 理人, 寺本 章伸, 諏訪 智之, 須川 成利

    電子情報通信学会技術研究報告 121 (212) 23-26 2021/10

  18. Statistical Analysis of RTN Behavior on Transistor Structures, Operating Regions, and Carrier Transport Directions

    秋元暸, 黒田理人, 黒田理人, 間脇武蔵, 須川成利

    電子情報通信学会技術研究報告(Web) 121 (212(SDM2021 44-52)) 27-32 2021/10

    ISSN: 2432-6380

  19. ミニマルファブ用ミラー磁場閉じ込めプラズマCVD装置によるジクロロシランガスを用いたシリコン窒化膜形成

    後藤 哲也, 小林 誠二, タイ, クオック クオン, 薮田 勇気, 須川 成利, 原 史朗

    第82回応用物理学会秋季学術講演会 講演予稿集 12a-N323-6 2021/09

  20. サブaFの精度を有する高解像度・リアルタイム近接容量CMOSイメージセンサの開発

    黒田理人, 須釜侑希, 渡部吉祥, 後藤哲也, 須川成利

    映像情報メディア学会技術報告・情報センシング研究会 45 (21) 1-4 2021/08

  21. Effect of dilute sulfuric acid on photoresist removal by ozone microbubbles Invited

    B2-04 2021/06

  22. イソプロピルアルコールを用いた金属銅及び酸化銅上の表面改質

    間脇 武蔵, 寺本 章伸, 石井 勝利, 志波 良信, 諏訪 智之, 東雲 秀司, 清水 亮, 梅澤 好太, 黒田 理人, 白井 泰雪, 須川 成利

    電子情報通信学会技術研究報告 121 (71) 1-6 2021/06

  23. Modification of states of copper and copper oxide due to IPA treatment

    間脇武蔵, 寺本章伸, 石井勝利, 志波良信, 諏訪智之, 東雲秀司, 清水亮, 梅澤好太, 黒田理人, 白井泰雪, 須川成利

    電子情報通信学会技術研究報告(Web) 121 (71(SDM2021 22-29)) 2021

    ISSN: 2432-6380

  24. Noise Reduction in CMOS Image Sensors Using Analog Correlated Multiple Sampling with High Density Capacitors

    鴨志田俊太, 鈴木学, 黒田理人, 黒田理人, 須川成利

    映像情報メディア学会技術報告 45 (11(IST2021 8-21)) 2021

    ISSN: 1342-6893

  25. A Global Shutter Wide Dynamic Range Soft X-Ray CMOS Image Sensor with 45μm-Thick Backside-Illuminated Pinned Photodiode and Two-Stage LOFIC

    四家寛也, 黒田理人, 黒田理人, 黒田理人, 小林諒太, 村田真麻, 藤原康行, 鈴木学, 原田将真, 柴口拓, 栗山尚也, 初井宇記, 宮脇淳, 宮脇淳, 宮脇淳, 原田哲男, 山崎裕一, 山崎裕一, 渡邊健夫, 原田慈久, 原田慈久, 須川成利, 須川成利

    映像情報メディア学会技術報告 45 (11(IST2021 8-21)) 2021

    ISSN: 1342-6893

  26. A Study on High Full Well Capacity Wide Dynamic Range Wide Spectral Response CMOS Image Sensor and Its Applications (高飽和・広ダイナミックレンジ・広光波長帯域CMOSイメージセンサとその応用に関する研究)

    41-44 2020/11

  27. MRAM用MgO薄膜の信頼性に関する研究

    諏訪 智之, 土本 淳一, 寺本 章伸, 後藤 哲也, 朴 賢雨, 須川 成利

    第32回マイクロエレクトロニクス研究会プロシーディング 45-53 2020/11

  28. Ultra-small UV-light sensing Si photodiode and image sensor technologies

    39 (6) 77-82 2020/06

    Publisher: オプトロニクス社

    ISSN: 0286-9659

  29. A Single Exposure Linear Response Over 120dB Dynamic Range CMOS Image Sensor with Two-stage Lateral Overflow Integration Trench Capacitors

    44 (11) 81-84 2020/03

    Publisher: 映像情報メディア学会

    ISSN: 1342-6893

  30. YOF コーティングのプラズマ耐性 Invited

    後藤 哲也, 志波 良信, 寺本 章伸, 岸 幸男, 須川 成利

    応用物理学会シリコンテクノロジー分科会 第 223 回研究集会 2020/02

  31. Operation Principle and Structure of Normally-off Floating Gate GaN HEMT with Injection Gate

    119 (408) 55-58 2020/01/31

    Publisher: 電子情報通信学会

    ISSN: 0913-5685

  32. Operation Principle and Structure of Normally-off Floating Gate GaN HEMT with Injection Gate

    119 (409) 55-58 2020/01/31

    Publisher: 電子情報通信学会

    ISSN: 0913-5685

  33. Large-Scale Evaluation of MIM Devices Using High-Precision Current Measurement Array Test Circuit

    鈴木勇人, PARK Hyeonwoo, 寺本章伸, 寺本章伸, 黒田理人, 黒田理人, 諏訪智之, 須川成利, 須川成利

    応用物理学会春季学術講演会講演予稿集(CD-ROM) 67th 2020

  34. Analysis of Effect of Drain-to-Source Voltage on Random Telegraph Noise by Statistical Measurement

    秋元瞭, 黒田理人, 黒田理人, 寺本章伸, 寺本章伸, 間脇武蔵, 市野真也, 諏訪智之, 須川成利

    電子情報通信学会技術研究報告(Web) 120 (205(SDM2020 14-21)) 2020

    ISSN: 2432-6380

  35. Modification of states of copper and copper oxide due to IPA treatment

    間脇武蔵, 寺本章伸, 石井勝利, 志波良信, 諏訪智之, 東雲秀司, 清水亮, 梅澤好太, 黒田理人, 白井泰雪, 須川成利

    電子情報通信学会技術研究報告(Web) 120 (205(SDM2020 14-21)) 2020

    ISSN: 2432-6380

  36. High capacitance density and High breakdown voltage textured deep trench SiN capacitors toward 3D integration

    齊藤宏河, 吉田彩乃, 黒田理人, 黒田理人, 柴田寛, 柴口拓, 栗山尚也, 須川成利

    電子情報通信学会技術研究報告(Web) 120 (205(SDM2020 14-21)) 2020

    ISSN: 2432-6380

  37. Gas concentration distribution measurement in semiconductor process chamber using a high SNR CMOS absorption image sensor

    119 (239) 65-68 2019/10/24

    Publisher: 電子情報通信学会

    ISSN: 0913-5685

  38. Observation of Fluctuation of Magnetron Sputtering Plasmas Using High-speed Video Camera

    119 (239) 69-72 2019/10/24

    Publisher: 電子情報通信学会

    ISSN: 0913-5685

  39. Resistance Measurement Platform for Statistical Evaluation of Emerging Memory Materials with High Accuracy

    119 (239) 59-64 2019/10/23

    Publisher: 電子情報通信学会

    ISSN: 0913-5685

  40. Measurement of gas concentration distribution in vacuum chamber using high SN ratio absorption imaging

    高橋圭吾, DA SILVA Yhang Ricardo Sipauba, 沼尾直毅, 黒田理人, 藤原康行, 村田真麻, 石井秀和, 森本達郎, 森本達郎, 諏訪智之, 寺本章伸, 須川成利, 須川成利

    映像情報メディア学会技術報告 43 (18(IST2019 35-39)) 11‐14-14 2019/06/18

    Publisher: 映像情報メディア学会

    ISSN: 1342-6893

  41. A CMOS Proximity Capacitance Image Sensor with 0.1aF Detection Accuracy

    山本将大, 黒田理人, 鈴木学, 後藤哲也, 羽森寛, 村上真一, 安田俊朗, 横道やよい, 須川成利, 須川成利

    映像情報メディア学会技術報告 43 (11(IST2019 12-22)) 49‐54-54 2019/03/15

    Publisher: 映像情報メディア学会

    ISSN: 1342-6893

  42. A 24.3Me&lt;sup&gt;-&lt;/sup&gt; Full Well Capacity and High Near Infrared Sensitivity CMOS Image Sensor with Lateral Overflow Integration Trench Capacitor

    村田真麻, 黒田理人, 藤原康行, 大塚雄介, 柴田寛, 柴口拓, 鎌田浩, 三浦規之, 栗山尚也, 須川成利

    映像情報メディア学会技術報告 43 (11(IST2019 12-22)) 27‐32-32 2019/03/15

    Publisher: 映像情報メディア学会

    ISSN: 1342-6893

  43. 先進半導体センサ・デバイス開発プロジェクトの展開

    須川成利

    第30回マイクロエレクトロニクス研究会プロシーディング 53-63 2018/11

  44. Statistical Analysis of Electric Characteristics Variability Using MOSFETs with Asymmetric Source and Drain

    市野真也, 寺本章伸, 黒田理人, 間脇武蔵, 諏訪智之, 須川成利, 須川成利

    電子情報通信学会技術研究報告 118 (241(SDM2018 52-63)) 51‐56 2018/10/10

    ISSN: 0913-5685

  45. A 125 Mfps High Speed CMOS Image Sensor with Burst CDS Operation

    鈴木学, 黒田理人, 須川成利

    映像情報メディア学会技術報告 42 (30(IST2018 47-52)) 5‐8-8 2018/09/18

    Publisher: 映像情報メディア学会

    ISSN: 1342-6893

  46. ミニマルファブ用ミラー磁場閉じ込めプラズマCVD装置によるシリコン窒化膜形成

    後藤 哲也, 小林 誠二, 薮田 勇気, 須川 成利, 原 史朗

    第79回応用物理学会秋季学術講演会 講演予稿集 21a-233-12 2018/09

  47. Imaging of Sub‐ppm Order Ozonated Water Convection Using High Ultraviolet Light Sensitivity and High Saturation CMOS Image Sensor

    村田真麻, 藤原康行, 青柳雄介, 黒田理人, 須川成利

    映像情報メディア学会技術報告 42 (19(IST2018 31-42)) 13‐16-16 2018/06/20

    Publisher: 映像情報メディア学会

    ISSN: 1342-6893

  48. A Prototype Ultra‐High Speed Global Shutter CMOS Image Sensor with 50Mfps Frame Rate

    鈴木学, 鈴木将, 黒田理人, 須川成利

    映像情報メディア学会技術報告 42 (10(IST2018 11-25)) 39‐42-42 2018/03/02

    Publisher: 映像情報メディア学会

    ISSN: 1342-6893

  49. プラズマプロセスチャンバで使用されるYF3とYOFの耐腐食性

    志波 良信, 寺本 章伸, 後藤 哲也, 岸 幸男, 白井 泰雪, 須川 成利

    第29回マイクロエレクトロニクス研究会プロシーディング 29-32 2017/11

  50. 半導体・電子デバイス製造プロセス用、高感度・小型リアルタイムガス濃度計に関する研究

    石井 秀和, 永瀬 正明, 池田 信一, 志波 良信, 白井 泰雪, 黒田 理人, 須川 成利

    第29回マイクロエレクトロニクス研究会プロシーディング 24-28 2017/11

  51. Experimental Investigation of Localized Stress Induced Leakage Current Distribution and Its Decrease by Atomically Flattening Process (シリコン材料・デバイス)

    朴 賢雨, 黒田 理人, 後藤 哲也, 諏訪 智之, 寺本 章伸, 木本 大幾, 須川 成利

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 117 (260) 9-14 2017/10/25

    Publisher: 電子情報通信学会

    ISSN: 0913-5685

  52. A High Sensitivity Realtime Compact Gas Concentration Sensor using UV absorption spectroscopy and Charge Amplifier Circuit

    石井 秀和, 永瀬 正明, 池田 信一, 志波 良信, 白井 泰雪, 黒田 理人, 須川 成利

    電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 117 (260) 35-38 2017/10/25

    Publisher: 電子情報通信学会

    ISSN: 0913-5685

  53. Analysis of Random Telegraph Noise Behaviors toward Changes of Source Follower Transistor Operation Conditions using High Accuracy Array Test Circuit

    市野真也, 間脇武蔵, 寺本章伸, 黒田理人, 若嶋駿一, 須川成利, 須川成利

    電子情報通信学会技術研究報告 117 (260(SDM2017 50-60)) 57‐62 2017/10/18

    ISSN: 0913-5685

  54. Statistical analysis of random telegraph noise in pixel source follower~Impacts of transistor shape, time constants and number of states~

    黒田理人, 寺本章伸, 市野真也, 間脇武蔵, 若嶋駿一, 須川成利

    映像情報メディア学会技術報告 41 (32(IST2017 49-59)) 13‐16-16 2017/09/25

    Publisher: 映像情報メディア学会

    ISSN: 1342-6893

  55. ミニマルファブ用ミラー磁場閉じ込めプラズマ源を用いたシリコン窒化膜形成

    後藤 哲也, 佐藤 恵一朗, 薮田 勇気, 須川 成利, 原 史朗

    第78回応用物理学会秋季学術講演会 講演予稿集 6p-C21-7 2017/09

  56. Cameras with on-chip memory CMOS image sensors

    Rihito Kuroda, Shigetoshi Sugawa

    The Micro-World Observed by Ultra High-Speed Cameras: We See What You Don't See 103-124 2017/08/30

    DOI: 10.1007/978-3-319-61491-5_5  

  57. 高速CMOSイメージセンサ技術の歩み

    須川成利, 鈴木学, 鈴木将, 黒田理人

    映像情報メディア学会年次大会講演予稿集(CD-ROM) 2017 ROMBUNNO.S5‐2 2017/08/16

    ISSN: 1880-6961

  58. An Ultra‐High Speed Global Shutter CMOS Image Sensor with High Density Analog Memories

    鈴木学, 鈴木将, 黒田理人, 熊谷勇喜, 千葉亮, 三浦規之, 栗山尚也, 須川成利

    映像情報メディア学会技術報告 41 (10(IST2017 8-19)) 7‐10-10 2017/03/03

    Publisher: 映像情報メディア学会

    ISSN: 1342-6893

  59. ミニマルファブ用新規磁場閉じ込め型ECR プラズマ源を用いたシリコン窒化膜形成

    後藤 哲也, 佐藤 恵一朗, 薮田 勇気, 須川 成利, 原 史朗

    第64回応用物理学会春季学術講演会 講演予稿集 16a-E206-3 2017/03

  60. A high sensitivity and high readout speed electron beam detector using steep pn junction Si diode technology for low acceleration voltage

    41 (10) 39-42 2017/03

    Publisher: 映像情報メディア学会

    ISSN: 1342-6893

  61. Siイオン注入がIGZO薄膜に与える影響

    後藤哲也, 今泉文伸, 須川成利

    第64回応用物理学会春季学術講演会 16a-502-8 3967-3967 2017/03

    Publisher: The Japan Society of Applied Physics

    DOI: 10.11470/jsapmeeting.2017.1.0_3967  

    eISSN: 2436-7613

  62. Spectral Absorption Imaging with an Over 70dB SNR CMOS Image Sensor

    村田 真麻, 藤原 康行, 青柳 雄介, 黒田 理人, 須川 成利

    Tohoku-Section Joint Convention Record of Institutes of Electrical and Information Engineers, Japan 2017 (0) 16-16 2017

    Publisher: Organizing Committee of Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers, Japan

    DOI: 10.11528/tsjc.2017.0_16  

  63. Progress of ultra‐high speed CMOS image sensor technologies over 10 million frames per second

    黒田理人, 鈴木学, 鈴木将, 須川成利

    高速度イメージングとフォトニクスに関する総合シンポジウム講演論文集(CD-ROM) 2017 ROMBUNNO.3‐2 2017

  64. CMOSイメージセンサの極限性能の追究

    須川成利

    第28回マイクロエレクトロニクス研究会プロシーディング 35-42 2016/11

  65. Behavior of Random Telegraph Noise toward Bias Voltage Changing

    間脇武蔵, 寺本章伸, 黒田理人, 市野真也, 後藤哲也, 諏訪智之, 須川成利, 須川成利

    電子情報通信学会技術研究報告 116 (270(SDM2016 69-78)) 35‐38 2016/10/19

    ISSN: 0913-5685

  66. Effects of the oxidizing species on the interface of Al&lt;sub&gt;2&lt;/sub&gt;O&lt;sub&gt;3&lt;/sub&gt; film by atomic layer deposition

    齋藤雅也, 諏訪智之, 寺本章伸, 黒田理人, 幸田安真, 杉田久哉, 林真里恵, 土本淳一, 石井秀和, 志波良信, 白井泰雪, 須川成利

    電子情報通信学会技術研究報告 116 (270(SDM2016 69-78)) 27‐30-30 2016/10/19

    Publisher: 電子情報通信学会

    ISSN: 0913-5685

  67. Formation technology of Flat Surface after Selective-Epitaxial-Growth on Ion-Implanted (100) Oriented Thin SOI Wafers

    116 (270) 9-14 2016/10

    Publisher: 電子情報通信学会

    ISSN: 0913-5685

  68. 画素毎の接続を用いた画素内に横型オーバーフロー蓄積容量およびAD変換器を有する露光時間途切れのないグローバルシャッタ積層型CMOSイメージセンサ

    黒田理人, 須郷秀武, 若嶋駿一, 須川成利

    映像情報メディア学会技術報告 40 (32) 11-14 2016/09

    DOI: 10.11485/itetr.40.32.0_11  

  69. 永久磁石を用いたミラー磁場閉じ込めECR プラズマ源

    後藤 哲也, 佐藤 恵一朗, 薮田 勇気, 須川 成利

    第77回応用物理学会秋季学術講演会 講演予稿集 15a-B7-9 2016/09

  70. 映像情報メディア年報2015シリーズ(第9回)情報センシングの研究開発動向

    須川 成利, 大竹 浩, 池辺 将之, 佐藤 俊明, 小林 昌弘, 黒田 理人, 浜本 隆之, 小室 孝, 德田 崇, 山下 誉行, 綱井 史郎, 廣瀬 裕, 赤井 大輔, 山本 洋夫

    映像情報メディア学会誌 70 (4) 609-622 2016/07

    DOI: 10.3169/itej.70.609  

  71. Ultraviolet Spectral Imaging using High Light Resistance Wide Dynamic Range CMOS Image Sensor

    藤原康行, 那須野悟史, 若嶋駿一, 楠原史章, 石井秀和, 黒田理人, 須川成利

    映像情報メディア学会技術報告 40 (15(IST2016 21-29)) 13‐16-16 2016/04/29

    Publisher: 一般社団法人 映像情報メディア学会

    DOI: 10.11485/itetr.40.15.0_13  

    ISSN: 1342-6893

    More details Close

    This paper reports ultraviolet spectral imaging technology using a high light resistance wide dynamic range CMOS image sensor. The CMOS image sensor has been designed, fabricated and evaluated. It achieved a wide spectral response for 190-1000nm, a 94dB dynamic range, a full well capacity of 87ke-, a maximum frame rate of 1.2kfps and a high light resistance to UV light exposure. An ultraviolet spectral imaging system was developed by using the CMOS image sensor and captured real-time images of the chemical substances&#039; diffusion in a liquid which cannot be observed under visible light.

  72. Differential Spectral Response Based Ultraviolet Radiation Sensor Using Silicon Photodiodes

    DA SILVA Yhang Ricardo, Sipauba Carvalho, 幸田安真, 那須野悟史, 黒田理人, 須川成利

    映像情報メディア学会技術報告 40 (15(IST2016 21-29)) 5‐8-8 2016/04/29

    Publisher: 一般社団法人 映像情報メディア学会

    DOI: 10.11485/itetr.40.15.0_5  

    ISSN: 1342-6893

    More details Close

    A bulk-Si ultraviolet radiation sensor was developed by extracting the differential spectral response of a high UV sensitivity and a low UV sensitivity photodiodes. Both types of photodiodes were fabricated together in the same chip, by adjusting the dopant concentration profiles. The developed sensor showed a sensitivity of 0.132 A/W at 310 nm and a residual sensitivity lower than 0.014 A/W for wavelengths longer than 500 nm. In this paper, the operating principle of this sensor, its fabrication method and the measurement results are discussed.

  73. Visualization of Ultra High‐Speed Phenomena by 10 Mfps Ultra High‐Speed Camera with Improved Photosensitivity of ISO 16000

    鈴木学, 鈴木将, SHAO Fan, 黒田理人, 徳岡信行, 川口泰範, 冨永秀樹, 須川成利

    映像情報メディア学会技術報告 40 (12(IST2016 7-20)) 25‐28-28 2016/03/04

    Publisher: 一般社団法人 映像情報メディア学会

    DOI: 10.11485/itetr.40.12.0_25  

    ISSN: 1342-6893

    More details Close

    In this paper, an ultra high-speed (UHS) CMOS image sensor which placed memories in the on-chip peripheral pixel region with improved photosensitivity and power consumption performances and a 10 Mfps UHS video camera adopted that sensor are demonstrated. Image capturing of UHS phenomena and sample images using the developed UHS video camera are described.

  74. Dynamic Response of Random Telegraph Noise Time Constants toward Bias Voltage Changing

    間脇 武蔵, 寺本 章信, 市野 真也, 黒田 理人, 後藤 哲也, 諏訪 智之, 須川 成利

    Tohoku-Section Joint Convention Record of Institutes of Electrical and Information Engineers, Japan 2016 (0) 64-64 2016

    Publisher: Organizing Committee of Tohoku-Section Joint Convention of Institutes of Electrical and Information Engineers, Japan

    DOI: 10.11528/tsjc.2016.0_64  

  75. Fabrication of FinFET Structure with High Selectivity Etching Using Newly Developed SiN&lt;sub&gt;x&lt;/sub&gt; Etch Gas

    小尻尚志, 小尻尚志, 諏訪智之, 橋本圭市, 寺本章伸, 黒田理人, 須川成利, 須川成利

    電子情報通信学会技術研究報告 115 (362(EID2015 9-24)) 1‐4 2015/12/07

    ISSN: 0913-5685

  76. BiFeO3薄膜への酸素ラジカル処理と低温結晶化技術

    今泉文伸, 後藤哲也, 寺本章伸, 須川成利

    第27回マイクロエレクトロニクス研究会プロシーディング 35-38 2015/11

  77. A Device Simulation Study on Tunneling and Diffusion Current Hybrid MOSFET

    古川貴一, 寺本章伸, 黒田理人, 諏訪智之, 橋本圭市, 小尻尚志, 須川成利, 須川成利

    電子情報通信学会技術研究報告 115 (280(SDM2015 71-83)) 35-40 2015/10/22

    ISSN: 0913-5685

  78. Study of process temperature of Al&lt;sub&gt;2&lt;/sub&gt;O&lt;sub&gt;3&lt;/sub&gt; atomic layer deposition using high accuracy process gasses supply controller

    杉田久哉, 幸田安真, 諏訪智之, 黒田理人, 後藤哲也, 石井秀和, 山下哲, 寺本章伸, 須川成利, 須川成利, 大見忠弘

    電子情報通信学会技術研究報告 115 (280(SDM2015 71-83)) 63-68 2015/10/22

    ISSN: 0913-5685

  79. 窒素添加LaB6ターゲットによる低仕事関数LaB6スパッタ薄膜の形成

    石井秀和, 高橋健太郎, 後藤哲也, 須川成利, 大見忠弘

    電子情報通信学会技術研究報告 115 (280) 53-56 2015/10

  80. 酸素ラジカル処理を用いた強誘電体BiFeO3薄膜の形成技術

    今泉文伸, 後藤哲也, 寺本章伸, 須川成利

    電子情報通信学会技術研究報告 115 (280) 41-44 2015/10

  81. Xe/H2プラズマを用いたシリコン基板表面の低温平坦化技術

    諏訪智之, 寺本章伸, 後藤哲也, 平山昌樹, 須川成利, 大見忠弘

    電子情報通信学会技術研究報告 115 (280) 13-16 2015/10

  82. Analysis and Reduction of Floating Diffusion Capacitance Components and Application to High Sensitivity and High Full Well Capacity CMOS Image Sensor

    楠原史章, 若嶋駿一, 那須野悟史, 黒田理人, 須川成利

    映像情報メディア学会技術報告 39 (35(IST2015 43-56)) 53‐56-56 2015/09/11

    Publisher: 一般社団法人 映像情報メディア学会

    ISSN: 1342-6893

    More details Close

    This paper reports the analysis and reduction technology of components of floating diffusion (FD) capacitance (C_&lt;FD&gt;) and its application to a high sensitivity and high full well capacity CMOS image sensor. We analyzed the result of C_&lt;FD&gt; components extracted by the developed test patterns, and proposed FD structure with non-LDD and low concentration diffusion layer to reduce C_&lt;FD&gt;. CMOS image sensor which has 360^H×1680^V pixels fabricated by 0.18μm CMOS process technology with lateral overflow integration capacitor (LOFIC), dual gain column amplifier, floating capacitor load readout operation, buried channel pixel source follower (SF) transistor and low C_&lt;FD&gt; device structure was evaluated and it exhibited 243 μV/e^- of conversion gain (CG), 0.46e^-_&lt;rms&gt; of readout noise, and 76ke^- of full well capacity (FWC).

  83. In the Receiving an Award of the Fellow(Message from the 2014 ITE Awards Winners and New Fellow Members,Special Column)

    Sugawa Shigetoshi

    The journal of the Institute of Image Information and Television Engineers 69 (7) 643-643 2015/09/01

    Publisher: The Institute of Image Information and Television Engineers

    ISSN: 1881-6908

  84. Effect of random telegraph noise reduction by atomically flat gate insulator film/Si interface

    黒田理人, 小原俊樹, 後藤哲也, 赤川直也, 木本大幾, 寺本章伸, 須川成利

    映像情報メディア学会技術報告 39 (17(IST2015 24-34)) 35-38 2015/05/01

    Publisher: 一般社団法人 映像情報メディア学会

    ISSN: 1342-6893

    More details Close

    The atomically flattening technology of gate insulator film/Si interface has been successfully introduced into 0.22 μm CMOS technology with shallow trench isolation process. A reduction of random telegraph noise (RTN) due to the introduction of atomically flat gate insulator/Si interface was confirmed by the measured data from over one million MOSFETs using fabricated array test circuit. The effect of RTN reduction by atomically flat gate insulator film/Si interface is reported.

  85. Pixel Gain and Linearity Range Improvement of CMOS Image Sensor using Floating Capacitor Load Readout Operation

    若嶋駿一, 楠原史章, 黒田理人, 須川成利

    映像情報メディア学会技術報告 39 (16(IST2015 10-23)) 41-44 2015/03/20

    Publisher: 一般社団法人 映像情報メディア学会

    ISSN: 1342-6893

    More details Close

    This paper reports that the floating capacitor load readout operation has higher pixel signal readout gain and wider linear response range than conventional readout operation, and the reason. We fabricated the prototype chip which has 1140^Hx768^V pixels of 2.8 μm pitch with 2 shared pixel technology and verified the effectiveness. When the power supply voltage is 2.0V, floating capacitor load readout operation increases readout gain by 8 % and enlarges linear response range by 47 % in comparison to conventional readout operation using column current source.

  86. Study on compositional transition layers at Si_3N_4/Si interface formed by radical nitridation

    SUWA Tomoyuki, TERAMOTO Akinobu, SUGAWA Shigetoshi, OHMI Tadahiro

    Technical report of IEICE. SDM 114 (255) 31-34 2014/10/16

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    The angle-resolved Si 2p photoelectron spectra arising from the transition layers formed on the Si_3N_4/Si interface were measured with the probing depth of nearly 2 nm. It was clarified the compositional transition layers formed at Si_3N_4/Si interface. The chemical structures of transition layers formed on Si substrate side are also discussed.

  87. Introduction of Atomically Flattening of Silicon Surface in Shallow Trench Isolation Process Technology

    GOTO Tetsuya, KURODA Rihito, AKAGAWA Naoya, SUWA Tomoyuki, TERAMOTO Akinobu, LI Xiang, OBARA Toshiki, KIMOTO Daiki, SUGAWA Shigetoshi, OHMI Tadahiro, KUMAGAI Yuki, KAMATA Yutaka, SHIBUSAWA Katsuhiko

    Technical report of IEICE. SDM 114 (255) 7-12 2014/10/16

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    Atomically flattening technology was introduced to the widely-used complementary metal oxide silicon (CMOS) process employing sallow trench isolation (STI) with 0.22 pm technology. To preserve atomically flat surface, wet etching processes before a gate oxidation were carried out in high-purity N_2 ambience without light. The gate SiO_2 film was formed by Kr/O_2-plasma-generated oxygen radical oxidation to preserve atomically flatness. As a result, metal oxide silicon field effect transistors (MOSFETs) having atomically flat gate insulator/Si interface was realized. Channel stop ion implantation, which avoids generating parasitic channel at the STI edge for sub-threshold region, was also studied. Thanks to the introduction of the atomically flat interface, gate oxide reliability such as breakdown electric field was improved.

  88. Analysis of trap density causing random telegraph noise in MOSFETs

    小原俊樹, 寺本章伸, 黒田理人, 米澤彰浩, 後藤哲也, 諏訪智之, 須川成利, 大見忠弘

    電子情報通信学会技術研究報告 114 (255(SDM2014 84-95)) 55-59 2014/10/09

    ISSN: 0913-5685

  89. Chemical Structure of Interfacial Transition Layer Formed on Si(100) and Its Dependence on Oxidation Temperature, Annealing in Forming Gas, and Difference in Oxidizing Species

    19a-17-6 2014/09

  90. High Speed and High Sensitivity CMOS Image Sensors Technologies for Ultimate Imaging Performances

    38 (26) 37-44 2014/07

    Publisher: 映像情報メディア学会

    ISSN: 1342-6893

  91. High Speed and High Sensitivity CMOS Image Sensors Technologies for Ultimate Imaging Performances

    黒田理人, 須川成利

    電子情報通信学会技術研究報告 114 (120(ICD2014 19-30)) 37-44 2014/06/26

    ISSN: 0913-5685

  92. Ultra‐High Speed Capturing of Dielectric Breakdown of Metal‐Oxide‐silicon Capacitor up to 10M frame per second

    SHO Han, 木本大幾, 古川貴一, 須郷秀武, 竹田徹, 宮内健, 栃木靖久, 黒田理人, 須川成利

    映像情報メディア学会技術報告 38 (20(IST2014 18-28)) 13-16 2014/05/26

    Publisher: 一般社団法人 映像情報メディア学会

    ISSN: 1342-6893

    More details Close

    In this paper, the ultra-high speed (UHS) video capturing results of time dependent dielectric breakdown (TDDB) of MOS capacitor are reported using the UHS camera with the maximum frame rate of 10M frame per second (fps). In order to capture the breakdown, we set a trigger circuit which detects the rapid current increase through the MOS capacitor, which supplies the trigger pulse to the UHS camera. Some movies have succeeded to capture the intermittent emissions of light on some points of the gate during the breakdown. From the movie taken at 1 Mfps, the order of the time interval of the emission of light was about 10 μsec and simultaneous emission of light at multiple points was not observed. From the movie taken at 10 Mfps, the time span of the emission of light was less than 1 μsec.

  93. Linear Photodiode Array Sensors Specialized for Absorption and Emission Spectroscopy with Fast Readout Speed and High Stability to UV Light Exposure

    阿久津貴弘, 川田峻, 幸田安真, 中澤泰希, 黒田理人, 須川成利

    映像情報メディア学会技術報告 38 (20(IST2014 18-28)) 17-20 2014/05/26

    Publisher: 一般社団法人 映像情報メディア学会

    ISSN: 1342-6893

    More details Close

    This paper reports on the two types of new photodiode array sensors with fast readout speed and high stability to ultraviolet (UV) light exposure. These sensors have high full well capacity for absorption and high sensitivity for emission in each. By introducing multiple readout paths along the long side of the rectangle photodiode (PD), both types have achieved more than 150 times faster readout speed compared with general types. By introducing a PD structure with a thin and steep dopant profile p^+ layer formed on a flattened Si surface, a higher stability of the light sensitivity to UV light exposure was confirmed compared with a general PD structure.

  94. Pixel Structure of Ultra‐High Speed CMOS Image Sensor with 20Mfps

    須川成利, 宮内健, 竹田徹, 半澤克彦, 栃木靖久, 酒井伸, 黒田理人, 冨永秀樹, 広瀬竜太, 田窪健二, 近藤泰志

    映像情報メディア学会技術報告 38 (15(IST2014 8-17)) 19-22 2014/03/07

    Publisher: 一般社団法人 映像情報メディア学会

    ISSN: 1342-6893

    More details Close

    This paper discussed pixel structures to achieve maximum frame rate of 20Mfps and measurement results such as charge-transfer performance in a high-speed CMOS image sensor.

  95. A Statistical Analysis of Dependencies of Random Telegraph Noise Time Constants on Operation Conditions

    黒田理人, 米澤彰浩, 小原俊樹, 寺本章伸, 須川成利

    映像情報メディア学会技術報告 38 (15(IST2014 8-17)) 15-18 2014/03/07

    Publisher: 一般社団法人 映像情報メディア学会

    ISSN: 1342-6893

    More details Close

    This paper reports on the statistical analysis of the dependencies of time constants in random telegraph noise on bias and operation sequence measured by high speed and high precision array test circuit. It was revealed that distribution of root mean square of source follower transistors&#039; temporal output signals decreases when SF transistors are under switched operation condition between on- and off-states than that of continuous operation. The obtained tendency is originated from the difference in dependencies of time-to-capture and time-to-emission on operation bias conditions.

  96. Development of Ultraclean Surface Processing for Gallium Nitride(窒化ガリウムの超清浄表面処理の開発)

    Yukihiro Tsuji, Tadashi Watanabe, Kenichi Nakamura, Kenji Nagao, Tsukuru Katsuyama, Yukihisa Nakao, Akinobu Teramoto, Yasuyuki Shirai, Shigetoshi Sugawa, Tadahiro Ohmi

    39-46 2013/11

  97. 汚染やダメージをいっさい与えない製造技術による半導体デバイス製造

    大見忠弘, 須川成利

    第25回マイクロエレクトロニクス研究会プロシーディング 61-109 2013/11

  98. 高電流駆動能力・低ノイズ微細MOSFETのデバイス構造・製造プロセスに関する研究

    中尾幸久, 内海秀俊, 橋本圭市, 田中宏明, 黒田理人, 寺本章伸, 宮本直人, 須川成利, 大見忠弘

    第25回マイクロエレクトロニクス研究会プロシーディング 47-60 2013/11

  99. 画像表示デバイスを用いたオンライン露光方式

    森本達郎, 宮本直人, 木田啓, 須川成利, 大見忠弘

    第25回マイクロエレクトロニクス研究会プロシーディング 33-38 2013/11

  100. 映像情報メディア年報2013シリーズ(第12回)情報センシングの研究開発動向

    須川成利, 高柳 功, 高橋秀和, 黒田理人, 池辺将之, 浜本隆之, 小室 孝, 香川景一郎, 大竹 浩, 赤井大輔, 鈴木秀征

    映像情報メディア学会誌 67 (11) 972-982 2013/11

    Publisher: 一般社団法人 映像情報メディア学会

    DOI: 10.3169/itej.67.972  

    ISSN: 1342-6907

  101. A device structure design of multi-gate MOSFETs based on carrier mobility characteristics of atomically flattened Si surface

    Kuroda Rihito, Nakao Yukihisa, Teramoto Akinobu, Sugawa Shietoshi, Ohmi Tadahiro

    Technical report of IEICE. SDM 113 (247) 15-20 2013/10/17

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    In this work, carrier mobility characteristics of (100), (110), and (551) oriented atomically flattened Si surfaces are reported, and they are utilized to the proposed mobility-based assessment method of speed and noise margin of various fin structure types of multi-gate MOSFETs. The fin structure type with the (551) facet (100) top surface orientations has the best figure-of-merit for intermediate facet height to top surface width ratio of 1.2 to 7.5.

  102. Study of Time Constant Analysis in Random Telegraph Noise at the Subthreshold Voltage Region

    米澤彰浩, 寺本章伸, 小原俊樹, 黒田理人, 須川成利, 大見忠弘

    電子情報通信学会技術研究報告 113 (247(SDM2013 88-98)) 51-56 2013/10/10

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    We extracted time constants of capture and emission of Random Telegraph Noise (RTN), and their dependencies of the gate-source voltage from numerous MOSFETs and discuss the trapping and detrapping processes of carriers at the subthreshold voltage region. The dependence of time to capture on the gate-source voltage can not be determined by the trap depth from the interface and but by the distance between the trap and percolation path and the trap energy level. It is considered that various emission processes caused by tunneling to Si substrate side, tunneling to gate electrode side and tunneling to either Si substrate side or gate electrode side depending on gate-source voltage. Evaluating the time constants individually is indispensable to characterize the trap which causes RTN in subthreshold voltage region.

  103. Si photodiode wiht high sensitivity and high stability to UV‐light with 100% internal Q.E. and high transmittance on‐chip multilayer dielectric stack

    幸田安真, 黒田理人, 中尾幸久, 須川成利

    電子情報通信学会技術研究報告 113 (247(SDM2013 88-98)) 21-25 2013/10/10

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    For sensors with wide light wavelength bandwidth including high ultraviolet light (UV-light) sensitivity and high stability to UV-light, we demonstrated the photodiodes combining the on-chip multilayer dielectric stack using SiO_2 and low extinction coefficient of SiN and the high UV-light sensitivity photodiode technology. These photodiodes with high quantum efficiency (Q.E.) and high stability to UV-light were both successfully obtained and by changing the structure of on-chip multilayer dielectric stack and film thickness, we were able to obtain the photodiode with high external Q.E. at the wavelength we desired in the UV-light region and high stability to UV-light.

  104. A CMOS Image Sensor with 200‐1000nm Spectral Response and High Robustness to Ultraviolet Light Exposure

    黒田理人, 川田峻, 那須野悟史, 中澤泰希, 幸田安真, 半澤克彦, 須川成利

    映像情報メディア学会技術報告 37 (40(IST2013 42-54)) 21-24 2013/09/23

    Publisher: 一般社団法人 映像情報メディア学会

    ISSN: 1342-6893

    More details Close

    In this paper, a 5.6 μm pixel pitch CMOS image sensor with a wide spectral response and high robustness to ultraviolet light (UV-light) exposure is reported. In the in-pixel buried pinned photodiode, a surface thin p^+ layer with a steep dopant profile is uniformly formed on the flattened Si surface to improve the UV-light sensitivity and the stability of the light sensitivity to a strong UV-light exposure. The fabricated chip exhibited a spectral response to the wide light waveband of 200-1000 nm, and the sensitivity degradation did not occur after the strong UV-light exposure stress.

  105. 窒化ガリウム表面の過剰酸化を抑制する洗浄液の検討

    辻 幸洋, 勝山 造, 寺本 章伸, 白井 泰雪, 須川 成利, 大見 忠弘

    第74回応用物理学会秋季学術講演会 講演予稿集 14-103 2013/09

  106. MOSFETのサブスレッショルド領域におけるRandom Telegraph Noiseの時定数解析

    米澤彰浩, 寺本章伸, 小原俊樹, 黒田理人, 須川成利, 大見忠弘

    2013 International Reliability Physics Symposium 報告会Digest 21-24 2013/07

  107. Color reproductivity improvement with additional virtual color filters for White-RGB image sensor

    KAWAHDA Shun, KURODA Rihito, SUGAWA Shigetoshi

    ITE Technical Report 37 (27) 17-20 2013/06/17

    Publisher: The Institute of Image Information and Television Engineers

    ISSN: 1342-6893

    More details Close

    We propose a color reproduction method which based on the estimated spectral reflectance of objects in order to improve the color reproductivity of single chip image sensor with the White-RGB color filter. Virtual color filter information was newly introduced to the spectrum estimation in addition to the physical four color filters (White-RGB). The estimation accuracy was evaluated with the 24 colors in the Macbeth Color Checker. The averaged value of the color difference ΔEab of 24 colors was 7.62 with only the physical RGB color filters. On the other hand, the value was reduced to 1.88 by the new estimation method which includes three physical color filters and the newly added four virtual color filters.

  108. Si photodiode with high sensitivity and high stability to UV‐light using high transmittance on‐chip multilayer dielectric stack

    幸田安真, 黒田理人, 中澤泰希, 中尾幸久, 須川成利

    映像情報メディア学会技術報告 37 (22(IST2013 15-27)) 37-40 2013/05/24

    Publisher: 一般社団法人 映像情報メディア学会

    ISSN: 1342-6893

    More details Close

    For sensors with wide light wavelength bandwidth including high ultraviolet light (UV-light) sensitivity and high stability to UV-light, we demonstrated the photodiodes combining the on-chip multilayer dielectric stack using SiO_2 and low extinction coefficient of SiN and the high UV-light sensitivity photodiode technology. These photodiodes with high quantum efficiency (Q.E.) and high stability to UV-light were both successfully obtained and by changing the structure of on-chip multilayer dielectric stack and film thickness, we were able to obtain the photodiode with high external Q.E. at the wavelength we desired in the UV-light region and high stability to UV-light.

  109. A CMOS Image Sensor using Floating Capacitor Load Readout Operation

    若嶋駿一, 合田康之, LI Tsung‐Ling, 黒田理人, 須川成利

    映像情報メディア学会技術報告 37 (22(IST2013 15-27)) 33-36 2013/05/24

    ISSN: 1342-6893

  110. 広ダイナミックレンジイメージセンサ技術

    須川成利

    光学技術コンタクト 51 (5) 45-45 2013/05

    Publisher: (社)日本オプトメカトロニクス協会

  111. Ultra-high speed video imaging technologies

    SUGAWA Shigetoshi

    ITE Technical Report 37 (19) 9-14 2013/03/15

    Publisher: The Institute of Image Information and Television Engineers

    ISSN: 1342-6893

    More details Close

    In this paper, Ultra-high speed (UHS) imaging which was presented in ISSCC 2013 Forum Scientific Imaging are described. Requirements for UHS videography, factors determining the capturing speed in image sensors, recent UHS video cameras, UHS image sensors with a frame rate of more than 1Mfps and a record length more than 100 and UHS imaging at 10Mfps by FTCMOS are included.

  112. A Statistical Evaluation of Random Telegraph Noise of In‐pixel Source Follower Equivalent Buried and Surface Channel Transistors

    黒田理人, 米澤彰浩, 寺本章伸, LI Tsung‐Ling, 栃木靖久, 須川成利

    映像情報メディア学会技術報告 37 (19(IST2013 6-14)) 19-22 2013/03/15

    Publisher: 一般社団法人 映像情報メディア学会

    ISSN: 1342-6893

    More details Close

    Using large-scale array test circuit, both static characteristics and random telegraph noise (RTN) of in-pixel source follower equivalent transistors with buried and surface channel structures were statistically evaluated under various current and body bias conditions. The distribution of noise intensities, correlations between RTN amplitude and threshold voltage and subthreshold swing were analyzed. The device optimization guideline of buried channel transistor is discussed.

  113. ガス添加機能水を用いたGaN 表面洗浄の検討

    辻 幸洋, 中村 健一, 眞壁 勇夫, 中田 健, 勝山 造, 寺本 章伸, 白井 泰雪, 須川 成利, 大見 忠弘

    第60回応用物理学会春季学術講演会 講演予稿集 14-068 2013/03

  114. 超高速CMOSイメージセンサの開発

    田窪健二, 須川成利

    光アライアンス 24 (2) 18-22 2013/02

    Publisher: 日本工業出版

    ISSN: 0917-026X

  115. Si(100)上でバルクSiO2とバルクSiとの間に形成される遷移層の解明

    諏訪智之, 寺本章伸, 室隆桂之, 木下豊彦, 須川成利, 大見忠弘, 服部健雄

    ゲートスタック研究会-材料・プロセス・評価の物理-第18回研究会 179-182 2013/01

  116. Si Surface Atomic Order Flattening Technology and its Application to Highly Reliable Ultraviolet Light Sensors

    Rihito Kuroda, Shigetoshi Sugawa, Taiki Nakazawa, Yasumasa Koda, Akinobu Teramoto, Tomoyuki Suwa, Tadahiro Ohmi

    31-38 2012/11

  117. 1/f Noise in MOSFETs

    Philippe Gaubert, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    1-16 2012/11

  118. シリコンLSI性能向上の新しい方向

    大見忠弘, 中尾幸久, 黒田理人, 宮本直人, 小谷光司, 平山昌樹, 後藤哲也, 須川成利

    第24回マイクロエレクトロニクス研究会プロシーディング 59-82 2012/11

  119. SiO2/Si(100)界面における組成遷移層の解明

    諏訪智之, 寺本章伸, 室隆桂之, 木下豊彦, 須川成利, 服部健雄, 大見忠弘

    第24回マイクロエレクトロニクス研究会プロシーディング 25-30 2012/11

  120. Chemical structures of compositional transition layer at SiO_2/Si(100)interface

    SUWA Tomoyuki, TERAMOTO Akinobu, MURO Takayuki, KINOSHITA Toyohiko, SUGAWA Shigetoshi, HATTORI Takeo, OHMI Tadahiro

    Technical report of IEICE. SDM 112 (263) 1-4 2012/10/18

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    The angle-resolved Si 2p photoelectron spectra arising form the transition layers formed on the SiO_2/Si(100) interface covered with more than one SiO_2 monolayer, which is device grade, were measured with probing depth of nearly 2nm. It was found for the interface formed in dry O_2 at 900℃ that two CTLs constitute the first and second CTLs. The first CTL formed at the interface consists mainly of Si^<1+>, Si^<2+>, and Si^0 and the second CTL formed on the first CTL consists mainly of Si^<3+> and Si^<4+>. Influences of oxidation temperature, annealing in forming gas on the chemical stuctures of transition layers formed on both sides of the interface are also discussed.

  121. Noise Performance of Accumulation MOSFETs

    GAUBERT Philippe, TERAMOTO Akinobu, SUGAWA Shigetoshi, OHMI Tadahiro

    Technical report of IEICE. SDM 112 (263) 15-20 2012/10/18

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    The paper focuses on the analysis of the 1/f noise in accumulation and inversion mode p-MOSFETs and especially the impact of the doping concentration on the noise. The contribution of each conductive region is analyzed for both types of MOSFETs. It is revealed that the rise of the doping concentration reduces the noise coming from the front interface and let appear the contribution coming from the SOI layer. The result is a drastic reduction of the 1/f noise in favor of the accumulation mode p-MOSFET.

  122. Low Temperature PECVD of High Quality Silicon Nitride for Gate Spacer

    中尾幸久, 寺本章伸, 黒田理人, 諏訪智之, 田中宏明, 須川成利, 大見忠弘

    電子情報通信学会技術研究報告 112 (263(SDM2012 89-97)) 21-26 2012/10/18

    Publisher: 一般社団法人電子情報通信学会

    ISSN: 0913-5685

    More details Close

    In order to improve the performance of metal oxide semiconductor field effect transistors (MOSFETs), chemically and thermally unstable new materials have been studied and introduced. Thin insulator films to protect these introduced materials from various chemical solutions during integration process are required in order to avoid the chemical instability of these materials. These protection films must be deposited at a low temperature to avoid the thermal instability. In this work, silicon nitride deposited by plasma enhanced chemical vapor deposition (PECVD)as the protection film was investigated. In conventional PECVD, silicon nitride at the sidewall of stepped shape is poor, because it is difficult to get a sufficient ion bombardment energy. In this work, a microwave exited plasma source that can produce a high density plasma more than 10^&lt;11&gt;cm^&lt;-3&gt; at low ion energy was used in order to improve the quality of silicon nitride at the sidewall. We obtained high quality silicon nitride at the sidewall deposited at 400℃, because a large amount of nitridation precursors against SiH_4 based precursors were supplied due to the optimization of process parameters. We fabricated MIS capacitors to evaluate the electrical properties of silicon nitride with various SiH_4 flow rate. It was found that Interface trap density between silicon nitride/Si and bulk trap density of silicon nitride can be reduced by decreasing SiH_4 flow rate. It was demonstrated that silicon nitride in this work can be applied to the gate spacer in terms of both the HF resistance and the electrical properties.

  123. Science‐Based New Silicon LSI Technologies―Improvement of Silicon LSI Instead of Current Device Miniaturization―

    大見忠弘, 中尾幸久, 黒田理人, 諏訪智之, 田中宏明, 須川成利

    電子情報通信学会技術研究報告 112 (263(SDM2012 89-97)) 27-32 2012/10/18

    Publisher: 一般社団法人電子情報通信学会

    ISSN: 0913-5685

    More details Close

    Proposal of device performance improvement of Si LSI facing with complete limitations. By establishing new manufacturing technologies to fabricate LSI on any crystal orientation Si surface, we must develop balanced CMOS consisting of same device dimension nMOS and pMOS by (551) surface accumulation mode CMOS leading to realization of full CMOS system LSI..

  124. 窒化ガリウム表面における汚染粒子除去洗浄の検討

    辻幸洋, 中村健一, 眞壁勇夫, 中田健, 勝山造, 寺本章伸, 白井泰雪, 須川成利, 大見忠弘

    第73回応用物理学会学術講演会 講演予稿集 15-215 2012/09

  125. 2,000万コマ/秒の超高速動画撮影が可能なCMOSイメージセンサ

    須川成利

    画像ラボ 23 (9) 25-28 2012/09

    Publisher: 日本工業出版

    ISSN: 0915-6755

  126. 高速CMOSイメージセンサによる毎秒1000万コマ以上の撮像

    須川成利, 栃木靖久, 宮内健, 武田徹, 黒田理人

    映像情報メディア学会年次大会講演予稿集(CD-ROM) 2012 ROMBUNNO.19-8 2012/08/08

    ISSN: 1880-6961

  127. High Speed CMOS Image Sensor and the imaging more than 1M-10M fps

    112 (159) 75-80 2012/07/26

    Publisher: 電子情報通信学会

    ISSN: 0913-5685

  128. High Speed CMOS Image Sensor and the imaging more than 1M-10M fps

    36 (31) 75-80 2012/07

    Publisher: 映像情報メディア学会

    ISSN: 1342-6893

  129. Photodiode Dopant Profile with Atomically Flat Si Surface for High Sensitivity and Stability to UV‐light

    中澤泰希, 黒田理人, 幸田安真, 須川成利

    映像情報メディア学会技術報告 36 (20(IST2012 16-28)) 19-22 2012/05/21

    Publisher: 一般社団法人 映像情報メディア学会

    ISSN: 1342-6893

    More details Close

    For sensors with high ultraviolet light (UV-light) sensitivity and high stability to UV-light, photodiodes with various surface high concentration layer profiles formed on the atomically flat Si surface were evaluated to investigate the relationships between the surface photo-generated carrier drift layer and sensitivity and stability to UV-light. It was clarified that the change of UV-light sensitivity due to UV-light exposure is caused by the generation of fixed charges in SiO_2 and traps near the Si/SiO_2 interface. Finally, photodiode dopant profile for high sensitivity and stability to UV-light was proposed.

  130. A Global-Shutter CMOS Image Sensor with Readout Speed of 1 Tpixel/s Burst and 780Mpixel/s Continuous

    TOCHIGI Yasuhisa, HANZAWA Katsuhiko, KATO Yuri, KURODA Rihito, MUTOH Hideki, HIROSE Ryuta, TOMINAGA Hideki, TAKUBO Kenji, KONDO Yasushi, SUGAWA Shigetoshi

    ITE Technical Report 36 (18) 9-12 2012/03/23

    Publisher: The Institute of Image Information and Television Engineers

    ISSN: 1342-6893

    More details Close

    A 400^H×250^V pixels global shutter CMOS image sensor including 128 on-chip memories for each pixel has been designed and fabricated. This CMOS image sensor achieves both 1Tpixel/s burst video operation without active cooling and 780Mpixel/s continuous video operation on the same chip.

  131. CMOSイメージセンサにおける高速化技術の動向

    須川成利

    映像情報メディア学会誌 66 (3) 174-177 2012/03

    Publisher: The Institute of Image Information and Television Engineers

    DOI: 10.3169/itej.66.174  

    ISSN: 1342-6907

  132. 酸素ラジカルと酸素分子用いて形成したSiO2/Si界面における構造遷移層の相違

    諏訪智之, 熊谷勇喜, 寺本章伸, 木下豊彦, 室隆桂之, 須川成利, 服部健雄, 大見忠弘

    ゲートスタック研究会-材料・プロセス・評価の物理-第17回研究会 141-144 2012/01

  133. シリコン表面の室温三工程洗浄と超高速ウェットエッチングによるシリコン基板薄化技術

    大見忠弘, 長谷部類, 吉田達郎, 内村徹平, 添田一喜, 平塚亮輔, 吉川和博, 須川成利, 村川順之

    表面科学技術研究会2012 1-27 2012/01

  134. 19-8 Over-10Mfps Images Using a High-Speed CMOS Image Sensor

    SUGAWA Shigetoshi, TOCHIGI Yasuhisa, MIYAUCHI Ken, TAKEDA Tohru, KURODA Rihito

    PROCEEDINGS OF THE ITE ANNUAL CONVENTION 2012 (0) 19-8-1-_19-8-2_ 2012

    Publisher: The Institute of Image Information and Television Engineers

    ISSN: 1343-1846

    More details Close

    The images captured at a rate of more than 10M fps using a high-speed CMOS image sensor are introduced.

  135. Statistical Evaluation of Leakage Current of Gate Insulator Film

    Yuki Kumagai, Takuya Inatsuka, Rihito Kuroda, Tomoyuki Suwa, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    33-38 2011/11

  136. A Study on Integration Technology of Non-porous Low-k Dielectric Fluorocarbon into Multilayer Cu Interconnects

    Xun Gu, Takenao Nemoto, Yugo Tomita, Akinobu Teramoto, Rihito Kuroda, Shin-Ichiro Kuroki, Shigetoshi Sugawa, Tadahiro Ohmi

    17-25 2011/11

  137. Development of Rotation Magnet Sputtering and Its Application to Cu Interconnect Fabrications for Printed Wiring Board

    Tetsuya Goto, Akihiko Hiroe, Hiroshi Imai, Masahiko Sugimura, Osamu Kawashima, Shigetoshi Sugawa, Tadahiro Ohmi

    1-9 2011/11

  138. High Purity Metal Organic Gas Distribution System

    YAMASHITA Satoru, ISHII Hidekazu, SHIBA Yoshinobu, KITANO Masafumi, SHIRAI Yasuyuki, SUGAWA Shigetoshi, OHMI Tadahiro

    Technical report of IEICE. SDM 111 (249) 85-90 2011/10/13

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    The gas flow control is important factor that influenced to the concentration of process gas and the pressure of process chamber. In composite semiconductor manufacturing process that using metal organic (MO) gases, the flow control system that controls the flow rate of MO gas must be established to improve the film performance and reliability of film formation process. So, flow control system based on pressure measurement (FCS) for high temperature was developed and it is possible to control the flow rate of MO gases. Also, the concentration of MO gas was controlled with great accuracy. Furthermore, liquid source control system (LSCS) was developed for the purpose of reduction of MO gas distribution system area and thermal history. And the system that MO material only the quantity needed was vaporized and control the flow rate of MO gas was developed.

  139. On the relation between interface flattening effect and insulator breakdown characteristic of radical reaction based insulator formation technology

    KURODA Rihito, TERAMOTO Akinobu, LI Xiang, SUWA Tomoyuki, SUGAWA Shigetoshi, OHMI Tadahiro

    Technical report of IEICE. SDM 111 (249) 21-26 2011/10/13

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    Gate insulator films formed by radical reaction based insulator formation technology are known to exhibit lower leakage current across ultrathin gate insulator films and lower 1/f noise compared to thermal oxides. However, a high probability of gate insulator early breakdowns has been a big stumbling block to be solved. This paper reports on the suppression of early breakdown probability by the combination of radical oxidation and atomically flat Si surface, and its clarified mechanism of the improvement based on the interface flattening effect of the radical oxidation process.

  140. Reduction of Random Telegraph Noise with Broad Channel MOSFET

    鈴木裕彌, 黒田理人, 寺本章伸, 米澤彰浩, 松岡弘章, 中澤泰希, 阿部健一, 須川成利, 大見忠弘

    電子情報通信学会技術研究報告 111 (249(SDM2011 97-114)) 5-9 2011/10/13

    Publisher: 一般社団法人電子情報通信学会

    ISSN: 0913-5685

    More details Close

    Drastic reduction of random telegraph noise (RTN) is demonstrated due to the broad channel MOSFET structure. We found that suppressing the channel percolation and the reducing the trap&#039;s coulomb blockade effect by the channel broadness and the trap-channel distance separation are the keys to the RTN reduction, leading to the developments of low noise circuits.

  141. Statistical Evaluations of Generation and Recovery Characteristics of Anomalous Stress Induced Leakage Current

    稲塚卓也, 熊谷勇喜, 黒田理人, 寺本章伸, 須川成利, 大見忠弘

    電子情報通信学会技術研究報告 111 (249(SDM2011 97-114)) 11-16 2011/10/13

    Publisher: 一般社団法人電子情報通信学会

    ISSN: 0913-5685

    More details Close

    We have statistically evaluated anomalous stress induced leakage current (SILC) which is generated by electric stress applied to gate oxide. Anomalous SILC indicates much larger current density than average SILC, which is critical problem to Flash memories. Our previous study has reported discrete generation and recovery of anomalous SILC which depend on measurement temperature and stress time. In this paper we have investigated characteristics of anomalous SILC in time domain to clarify that recovery characteristics are much different between normal and anomalous SILCs. Furthermore we have investigated dependences of recovery tendencies on temperature and stress conditions.

  142. An improvement in color reproductivity of whole gamut including emerald green and yellow using a WRGB LOFIC CMOS image sensor

    川田峻, 合田康之, 黒田理人, 須川成利

    映像情報メディア学会技術報告 35 (19(IST2011 17-32)) 33-35 2011/05/20

    Publisher: 一般社団法人 映像情報メディア学会

    DOI: 10.11485/itetr.35.19.0_33  

    ISSN: 1342-6893

    More details Close

    This paper reports improvement of color reproductivity with a new color matrix using the WRGB LOFIC CMOS image sensor which we had developed. A new signal which includes emerald green and yellow (EGY) ingredients is extracted from WRGB signals. And a linear matrix which is made up of the RGB and the EGY signals realizes high accurate color correction with a simple matrix calculation compared with a linear matrix made up only of the RGB signals.

  143. High S/N Readout Operation for 10Mfps high Speed CMOS Image Sensor

    栃木靖久, 半澤克彦, 加藤祐理, 赤羽奈々, 黒田理人, 須川成利

    映像情報メディア学会技術報告 35 (19(IST2011 17-32)) 37-40 2011/05/20

    Publisher: 一般社団法人 映像情報メディア学会

    DOI: 10.11485/itetr.35.19.0_37  

    ISSN: 1342-6893

    More details Close

    Aiming for the realization of the high-speed CMOS image sensor that achieves both burst image capturing at over-10M fps and continuous image capturing at 10K fps by a shingle chip, a prototype 72^H×32^V high-speed CMOS image sensor with 104 analog memories per pixel has been designed and fabricated. In this paper, we report the signal readout operations and trigger operations for both burst image capturing and continuous image capturing.

  144. A prototype high‐speed CMOS image sensor with 10M burst‐frame rate and 10K continuous‐frame rate

    須川成利, 栃木靖久, 半澤克彦, 加藤祐理, 赤羽奈々, 黒田理人

    映像情報メディア学会技術報告 35 (17(IST2011 10-16/CE2011 16-22)) 27-30 2011/03/15

    Publisher: 一般社団法人 映像情報メディア学会

    DOI: 10.11485/itetr.35.17.0_27  

    ISSN: 1342-6893

    More details Close

    Aiming for the realization of the high-speed CMOS image sensor, required in advanced R&amp;D and scientific instrumentation field, that has approximately 100,000 pixels and achieves both burst image capturing at over-10M fps with over-100 images and continuous image capturing at 10K fps by a single chip without cooling, a prototype 72^H×32^V highspeed CMOS image sensor with 48^H×48^Vμm^2 pixels has been designed and fabricated and the results of experiments are discussed.

  145. Low Series Resistance CMOS Source/Drain Electrode Formation Technology using Dual Silicide

    KURODA Rihito, NAKAO Yukihisa, SUGAWA Shigetoshi, TANAKA Hiroaki, TERAMOTO Akinobu, MIYAMOTO Naoto, OHMI Tadahiro

    2011 (35) 5-10 2011/03/01

  146. Statistical Evaluation of Random Telegraph Signal in MOSFET

    TERAMOTO Akinobu, ABE Kenichi, SUGAWA Shigetoshi, OHMI Tadahiro

    IEICE technical report 110 (274) 17-22 2010/11/04

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    Important parameters of Random Telegraph Signal (RTS) in MOSFET, such as amplitude, time constant vary very much. For evaluating exact characteristics of these parameters, a statistical measurement based on large number of samples is essential. In this paper, we report the summary of the developed test pattern and the statistical results for the energy distribution of oxide traps which are extracted from large numbers of MOSFETs by the test pattern.

  147. Applied study of SiO2 Based Film Formed by Organosiloxane Sol-Gel Precursor

    Kohei Watanuki, Atsutoshi Inokuchi, Akinori Banba, Hirokazu Suzuki, Nobuyuki Manabe, Tadashi Koike, Tatsuhiko Adachi, Tetsuya Goto, Akinobu Tearmoto, Yasuyuki Shirai, Shigetoshi Sugawa, Tadahiro Ohmi

    7-13 2010/11

  148. High-purity Metal-organic Gas Distribution System

    Satoru Yamashita, Hidekazu Ishii, Yoshinobu Shiba, Masafumi Kitano, Yasuyuki Shirai, Shigetoshi Sugawa, Tadahiro Ohmi

    1-6 2010/11

  149. 超高性能フルCMOSシステムLSIが切り拓く学問に基づく本物のシリコン技術」

    大見 忠弘, 須川成利, 小谷光司, 平山昌樹, 後藤哲也, 宮本直人, 黒田理人, 田中宏明, 諏訪智之, 中尾幸久, 大橋朋貢, 橋本昌和

    第22回マイクロエレクトロニクス研究会プロシーディング 45-81 2010/11

  150. Integration of Novel Non-porous Low-k Dielectric Fluorocarbon into Advanced Cu Interconnects

    GU Xun, NEMOTO Takenao, TOMITA Yugo, TERAMOTO Akinobu, KUROKI Shin-Ichiro, SUGAWA Shigetoshi, OHMI Tadahiro

    IEICE technical report 110 (241) 53-56 2010/10/14

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    Electrical characteristics of novel non-porous low-k dielectric fluorocarbon/Cu single damascene interconnects are explored. Compatible line-to-line leakage current, low dielectric constant (k=2.3) and enough time-dependent dielectric breakdown lifetime are achieved. This novel non-porous fluorocarbon dielectric process technology is successfully integrated into Cu damascene interconnects and considered as a promising candidate to extendible into advanced Cu interconnects.

  151. Low Resistance Source/Drain Contacts with Low Schottky Barrier for High Performance Transistors

    田中宏明, 黒田理人, 中尾幸久, 寺本章伸, 須川成利, 大見忠弘

    電子情報通信学会技術研究報告 110 (241(SDM2010 152-170)) 25-30 2010/10/14

    Publisher: 一般社団法人電子情報通信学会

    ISSN: 0913-5685

    More details Close

    Formation process between high doped region and silicide contact that have low contact resistivity in the source/drain region has been developed in order to reduce the series resistance of the transistor. By Pd silicide for p^+ region and Er silicide for n^+ region were selected, we realized a low Schottky barrier height of 0.3eV. The change of the growth stage at the silicidation process is varied with the Si surface orientation. Process of contact formation is optimized theoretically and the very low contact resistivity of less than 10^&lt;-9&gt;Ωcm^2 is realized by Pd_2Si for p^+ region and ErSi_2 for n^+ region.

  152. Tribological Study of Direct-polish Process on Non-Porous Ultra Low-k Dielectric/Cu Interconnects

    Xun Gu, Takenao Nemoto, Yugo Tomita, Akinobu Teramoto, Shin-Ichiro Kuroki, Shigetoshi Sugawa, Tadahiro Ohmi

    2010 The Japan Society for Precision Engineering Fall Meeting(精密工学会秋季大会) 133-134 2010/09

  153. RTN測定の高精度化・高速化技術とRTN特性に強い影響度を示すプロセス条件

    阿部健一, 寺本章伸, 須川成利, 大見忠弘, RTN測定の高精度化

    映像情報メディア学会技術報告 34 (38) 29-32 2010/09

  154. 映像情報メディア年報情報センシング

    太田淳, 須川成利

    映像情報メディア学会誌 64 (8) 1126-1135 2010/08

    Publisher: The Institute of Image Information and Television Engineers

    DOI: 10.3169/itej.64.1125  

    ISSN: 1342-6907

  155. RTSにおけるキャリアトラップ準位の統計的評価

    寺本章伸, 藤澤孝文, 阿部健一, 須川成利

    シリコンテクノロジー 127 25-30 2010/07

  156. Optimization of Brush Scrubbing Condition on Cu/low-k Damascene Structure by Using Pressure Sensing Sheet

    Xun Gu, Takenao Nemoto, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    2010The Japan Society for Precision Engineering Spring Meeting(精密工学会春季大会) 715-716 2010/03

  157. A Checkered WRGB LOFIC CMOS Image Sensor for Almost the Same Saturation Illuminance

    川田峻, 酒井伸, 赤羽奈々, 黒田理人, 須川成利

    映像情報メディア学会技術報告 33 (56(IST2009 90-97)) 21-24 2009/12/10

    Publisher: 一般社団法人 映像情報メディア学会

    DOI: 10.11485/itetr.33.56.0_21  

    ISSN: 1342-6893

    More details Close

    We have developed a WRGB CMOS image sensor with lateral overflow integration capacitor (LOFIC) technology in order to achieve almost the same saturation exposure with wide dynamic range. The WRGB LOFIC CMOS image sensor with a 1/3.3-inch optical format, 1280^H×480^V pixels, 4.2-μm effective pixel pitch with 45° direction was designed and fabricated through 0.18-μm 2-Poly 3-Metal CMOS technology with buried pinned photodiode process. This image sensor has achieved about 108-μV/e^- high conversion gain and about 102-dB dynamic range performance in one exposure.

  158. Statistical Analysis of Random Telegraph Signal Using a Large-Scale Array TEG with a Long Time Measurement

    FUJISAWA Takafumi, ABE Ken-ichi, WATABE Shunichi, MIYAMOTO Naoto, TERAMOTO Akinobu, SUGAWA Shigetoshi, OHMI Tadahiro

    IEICE technical report 109 (257) 31-36 2009/10/22

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    For the development of miniaturizing MOSFET and manufacturing low noise devices, it is important to suppress RTS noise. In this report, we measured the gate bias voltage dependence of RTS characteristic with large-scale array test pattern that we are able to evaluate a large number of RTS characteristic in a short time. The amplitude increases and the time constant ratio increases as gate bias voltage decreases. We discuss the energy level of traps in an insulator film with the gate bias voltage dependence of time constant ratio. Extraction time constant ratio is useful for determination of the energy level of traps.

  159. Tribological Study for Low Shear Force CMP Process on Damascene Interconnects

    GU Xun, NEMOTO Takenao, SAMPURNO Yasa Adi, CHENG Jiang, THENG Sian, TERAMOTO Akinobu, MATEO Ricardo Duyos, BORUCKI Leonard, ZHUANG Yun, PHILIPOSSIAN Ara, SUGAWA Shigetoshi, OHMI Tadahiro

    IEICE technical report 109 (257) 21-26 2009/10/22

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    An Optimum Cu CMP process to reduce shear force by implementing asynchronous wafer-pad rotation rates with an optimum wafer suceptor and an improved groove-designed pad was achieved. Increasing the ratio of wafer rotation to pad rotation significantly reduces shear force and this leads to shorter required polishing time, while an optimum wafer suceptor contributes to improve removal uniformity for an uniform down pressure distribution on the wafer under asynchronous wafer-pad rotation condition. A new groove-designed pad was testified in order to decrease shear force.

  160. High current drivability transistors with optimized silicides for n&lt;sup&gt;+&lt;/sup&gt;‐ and p&lt;sup&gt;+&lt;/sup&gt;‐Si

    中尾幸久, 黒田理人, 田中宏明, 寺本章伸, 須川成利, 大見忠弘

    電子情報通信学会技術研究報告 109 (257(SDM2009 117-134)) 1-6 2009/10/22

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    Formation process of silicide/silicon contact with low contact resistance in the source/drain regions has been developed in order to reduce the source/drain electrodes series resistance of MOSFETs. Er that has a low Schottky barrier height (SBH) for electrons and Pd that has a low SBH for holes were selected to n^+-, p^+-Si respectively. Silicide formation process were done in N_2 ambient during from the silicon surface cleaning before metal depositions to silicidation anneal in order not to expose metal and silicon-region to be oxidized. W capping layer is applied to Er surface for preventing Er from being oxidized, because Er is very low work function and is very easily oxidized. These silicides formation process were applied to MOSFETs and high current drivability can be achieved by reduction of series resistance. When these silicides are applied to MOSFETs, it is found to be effective for the reduction of the series resistance of CMOS in sub-100nm generation.

  161. デジタルカメラ用イメージセンサの最新動向

    須川成利

    日本写真学会誌 72 (4) 300-305 2009/08

    Publisher: 日本写真学会

    DOI: 10.11454/photogrst.72.300  

    ISSN: 0369-5662

  162. CDS-1-1 Wide Dynamic Range LOFIC CMOS Image Sensor Technology

    Sugawa Shigetoshi

    Proceedings of the IEICE General Conference 2009 (2) "S-1"-"S-2" 2009/03/04

    Publisher: The Institute of Electronics, Information and Communication Engineers

  163. 諏訪智之、荒谷崇、樋口正顕、須川成利、池角度分解光電子分光法によるシリコン窒化膜/シリコン基板界面に形成される構造遷移層に関する研究

    諏訪智之, 荒谷崇, 樋口正顕, 須川成利, 池永英司, 牛尾二郎, 野平博司, 寺本章伸, 大見忠弘, 服部健雄

    第14回ゲートスタック研究会 117-120 2009/01

  164. 高性能トランジスタのための低バリアハイト低抵抗コンタクト形成技術

    田中宏明, 磯貝達典, 黒田理人, 中尾幸久, 諏訪智之, 後藤哲也, 寺本章伸, 須川成利, 大見忠弘

    第20回マイクロエレクトロニクス研究会プロシーディング 32-39 2008/11

  165. Correlation between Stress Induced Leakage Current and Random Telegraph Signal noise

    KUMAGAI Yuki, TERAMOTO Akinobu, ABE Kenichi, FUJISAWA Takafumi, WATABE Shunichi, SUWA Tomoyuki, MIYAMOTO Naoto, SUGAWA Shigetoshi, OHMI Tadahiro

    IEICE technical report 108 (236) 57-62 2008/10/02

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    In this paper, we report the correlation between anomalous stress-induced leakage current (SILC) and random telegraph signal (RTS) in about 80,000n-MOSFETs caused by the Fowler-Nordheim (F-N) electron tunneling stress. The number of MOSFETs that has large RTS increases and the number of MOSFETs that have anomalous SILC increases by applying F-N stress, respectively. It is also found that, however, the MOSFETs that have large RTS are not correlation with ones that have large anomalous SILC.

  166. Impact of Fully Depleted Silicon-On-Insulator Accumulation-mode CMOS on Si(110)

    TYE Ching Foa, CHENG Weitao, TERAMOTO Akinobu, SUGAWA Shigetoshi, OHMI Tadahiro

    IEICE technical report 108 (236) 51-55 2008/10/02

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    This paper demonstrates the characteristic of Accumulation-mode Fully Depleted Silicon-On-Insulator (Acc-FD-SOI) MOSFETs fabricated on Si(110). Acc-FD-SOI-nMOSFET's current drivability is 1.2 times higher than conventional Inversion-mode Fully Depleted Silicon-On-Insulator (Inv-FD-SOI) MOSFETs. The current drivability of nMOSFET and pMOSFET is perfectly equavelent by implementing Accumlation-mode device structure to nMOSFETs on Si(110). A novel CMOS inverter consisted of Inversion-mode pMOSFET and Accumulation-mode nMOSFET shows good characteristic and occupy only half of the area on silicon compared to conventional inverter fabricated on Si(100).

  167. Statistical evaluation of characteristics variation and RTS noise of MOSFETs

    FUJISAWA Takafumi, SUGAWA Shigetoshi, WATABE Shunichi, ABE Kenichi, TERAMOTO Akinobu, OHMI Tadahiro

    IEICE technical report 108 (236) 45-50 2008/10/02

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    For the development of processes suppressing the variability of MOSFETs and Random Telegraph Signal (RTS) noise, it is required that a huge number of MOSFET's characteristics are measured and the exact phenomena of RTS are understood. The statistical extraction of the accurate time constant in RTS is useful to obtain the energy level and/or distance between traps and Si/SiO_2 interface. In this paper, we demonstrated that a huge number of MOSFET's I_D-V_<GS> characteristics the statistical and accurate measurement method of the time constant of RTS by a sufficient long time measurement in very large-scale array Test Element Group (TEG).

  168. Study on Compositional Transition Layers at Gate Dielectrics/Si Interface by using Angle-resolved X-ray Photoelectron Spectroscopy

    SUWA Tomoyuki, ARATANI Takashi, HIGUCHI Masaaki, SUGAWA Sigetoshi, IKENAGA Eiji, USHIO Jiro, NOHIRA Hiroshi, TERAMOTO Akinobu, OHMI Tadahiro, HATTORI Takeo

    IEICE technical report 108 (236) 69-74 2008/10

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    Soft x-ray-excited angle-resolved photoemission results for nitride films formed using nitrogen-hydrogen radicals on Si(100), Si(111), and Si(110) are reported. The data were obtained using synchrotron radiation which allowed the Si 2p, N 1s, and O 1s levels to be investigated with the same probing depth. The following main results were obtained: 1) The Si3N4 film is covered with one monolayer of Si-(OH)_3N. Its areal density is 15% smaller on Si(111) than on Si(100) and Si(110), 2) the Si_3N_4/Si interfaces on all three surfaces are compositionally abrupt. This conclusion is based on the observation that no Si atoms bonded with three N atoms and one Si atom were detected, 3) The observation that the number of Si-H bonds at the Si_3N_4/Si(110) interface is 38-53% larger than those at the Si_3N_4/Si(100) and Si_3N_4/Si(111) interfaces indicates a dependence of the interface structure on the orientation of the substrate.

  169. Image Electronics ; Information Sesing

    KAWAHITO Shoji, TAKAYANAGI Isao, TAKAHASHI Hidekazu, SUGAWA Shigetoshi, MISAWA Takeshi, OHTA Jun, HAMAMOTO Takayuki, OHTAKE Hiroshi, HARADA Koichi, ONODA Atsushi

    The Journal of The Institute of Image Information and Television Engineers 62 (8) 1189-1197 2008/08/01

    Publisher: The Institute of Image Information and Television Engineers

    DOI: 10.3169/itej.62.1189  

    ISSN: 1342-6907

  170. 大型液晶ディスプレイプロヘクトが切り拓く未来

    大見忠弘, 須川成利

    電子情報通信学会誌 91 (4) 316-340 2008/04

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5693

  171. Statistical Analyses of Random Telegraph Signals in the Transistors Equivalent to Pixel Source Followers Using a Large‐scale Array TEG

    須川成利, 阿部健一, 藤澤孝文, 渡部俊一, 黒田理人, 宮本直人, 寺本章伸, 大見忠弘

    映像情報メディア学会技術報告 32 (19(IST2008 8-18/CE2008 21-31)) 9-12 2008/03/19

    ISSN: 1342-6893

  172. 微細MOSデバイスのランダム・テレグラフ・シグナル・ノイズ(アナログ,アナデジ混載,RF及びセンサインタフェース回路) Invited

    須川成利

    電子情報通信学会技術研究報告 32 (45) 95-100 2008

    DOI: 10.11485/itetr.32.45.0_95  

  173. アレイTEGを用いたランダム・テレグラフ・シグナルの統計的評価

    藤澤孝文, 阿部健一, 須川成利, 黒田理人, 渡部俊一, 寺本章伸, 大見忠弘

    第13回ゲートスタック研究会 143-148 2008/01

  174. Technologies for High Performance CMISFETs

    Rihito Kuroda, Akinobu Teramoto, Tomoyuki Suwa, Rui Hasebe, Weitao Cheng, Syunichi Watabe, Ching Foa Tye, Shigetoshi Sugawa, Tadahiro Ohmi

    50-55 2007/11

  175. SiON Gate Insulator Formation using RLSA Plasma and Precise Measuremant of Nitrogen Profile in Advanced DRAM

    Shigemi Murakawa, Masashi Takeuchi, Minoru Honda, Shu-ichi Ishizuka, Toshio Nakanishi, Yoshio Hirota, Takuya Sugawara, Yoshitsugu Tanaka, Yasushi Akasaka, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi

    11-16 2007/11

  176. ULSIにおけるMOSFETの電気的特性ばらつきとRandom Telegraph Signal Noise の大規模統計解析

    阿部健一, 須川成利, 渡部俊一, 黒田理人, 藤澤孝文, 寺本章伸, 大見忠弘

    第19回マイクロエレクトロニクス研究会 プロシーディング 43-49 2007/11

  177. マイクロ波励起プラズマを用いた高品質シリコン窒化膜の形成

    寺本章伸, 荒谷 崇, 樋口正顕, 池永英司, 平山昌樹, 須川成利, 服部健雄, 大見忠弘

    真空 50 (11) 659-664 2007/11

  178. 先端DRAMでのSiONゲート絶縁膜における窒素プロファイルと素子特性について

    村川惠美, 竹内政志, 本田 稔, 石塚修一, 中西敏雄, 廣田良浩, 菅原卓也, 田中義嗣, 赤坂泰志, 寺本章伸, 須川成利, 大見忠弘

    電子情報通信学会技術研究報告 107 (245) 11-14 2007/10

  179. Statistical Evaluation of Characteristics Variability Caused by Plasma Damages

    WATABE Syunichi, SUGAWA Shigetoshi, ABE Kenichi, FUJISAWA Takafumi, MIYAMOTO Naoto, TERAMOTO Akinobu, OHMI Tadahiro

    IEICE technical report 107 (245) 69-72 2007/09/27

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    In this paper, we report the statistical evaluation of characteristics degradation in MOSFETs caused by plasma damages which induces the variability of MOSFETs. We demonstrated the suppression of the variability and degradation of MOSFETs characteristics by using microwave excited low plasma damage process.

  180. High Performance Accumulation Mode FD-SOI MOSFETs on Si(100) and (110) Surfaces

    CHENG W., TERAMOTO A., KURODA R., TYE C., WATABE S., SUWA T., GOTO T., IMAIZUMI F., SUGAWA S., OHMI T.

    IEICE technical report 107 (245) 45-48 2007/09/27

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    We have been successful in realizing a very high performance novel balanced CMOS on Si(110) and high performance MOSFETs on Si(100) using accumulation-mode devices. We dramatically improve the oscillation performance using the novel accumulation mode FD-SOI balanced CMOS on Si(110) surface. This technology is very useful for realizing advanced high performance analog/digital mixed circuits.

  181. Statistical Analysis of Random Telegraph Signal Using Large‐scale Array Test Element Group (TEG)

    阿部健一, 須川成利, 黒田理人, 渡部俊一, 寺本章伸, 大見忠弘

    電子情報通信学会技術研究報告 107 (245(SDM2007 170-193)) 65-68 2007/09/27

    Publisher: 一般社団法人電子情報通信学会

    ISSN: 0913-5685

    More details Close

    In this work, we propose a statistical evaluation technique of Random Telegraph Signal using a novel Test Element Group (TEG) which can measure a huge number of MOSFETs&#039; electrical characteristics and RTS characteristics in a short time. This method enables us to find MOSFETs having RTS behavior, which are really rare samples easily and to reduce the amount of time for the measurement and the analysis. From the analysis using the method, RTS appearance probabilities and noise intensities increase as gate size is scaled down. These properties also change drastically depending on drain current and back-gate bias voltage.

  182. プラズマ窒化膜/Siの界面構造、サブナイトライド、価電子帯構造

    寺本章伸, 荒谷 崇, 樋口正顕, 池永英司, 野平博司, 須川成利, 大見忠弘, 服部健雄

    シリコンテクノロジー 93 2-7 2007/06

  183. プラズマ窒化膜/Siの界面構造、サブナイトライド、電子帯構造

    寺本章伸, 荒谷 崇, 樋口正顕, 池永英司, 野平博司, 須川成利, 大見忠弘, 服部健雄

    電子情報通信学会技術研究報告、 107 (85) 43-48 2007/06

  184. 大規模アレイTEGによるトンネル絶縁膜の微小・局所的ゲート電流の統計的評価

    熊谷勇喜, 寺本章伸, 須川成利, 諏訪智之, 大見忠弘

    電子情報通信学会技術研究報告 107 (85) 27-32 2007/06

  185. UVラマン分光法によるラジカル窒化Si3N4/Si界面の評価

    吉田哲也, 山崎浩輔, 小瀬村大亮, 掛村康人, 小椋厚志, 荒谷 崇, 樋口正顕, 須川成利, 寺本章伸, 大見忠弘, 服部健雄

    第54回応用物理学関係連合講演会 講演予稿集No.2 28p-C-10 836 2007/03

  186. Siのラジカル窒化により形成したSi3N4/Si界面におけるサブナイトライドと価電子帯オフセット

    荒谷 崇, 樋口正顕, 須川成利, 池永英司, 野平博司, 丸泉琢也, 寺本章伸, 大見忠弘, 服部健雄

    第54回応用物理学関係連合講演会 講演予稿集No.2 28p-C-9 836 2007/03

  187. 角度分解光電子分光法の新しい試み

    荒谷 崇, 樋口正顕, 須川成利, 池永英司, 野平博司, 寺本章伸, 大見忠弘, 服部健雄

    第54回応用物理学関係連合講演会 講演予稿集 28p-C-8 835 2007/03

  188. Development of Microwave-Excited Plasma-Enhanced Metal-Organic Chemical Vapor Deposition System for Formation of Ferroelectric Sr_2(Ta_<1-x>,Nbx_2)O_7 Film on Amorphous SiO_2

    TAKAHASHI Ichirou, FUNAIWA Kiyoshi, AZUMI Keita, YAMASHITA Satoru, SHIRAI Yasuyuki, HIRAYAMA Masaki, TERAMOTO Akinobu, SUGAWA Shigetoshi, OHMI Tadahiro

    IEICE technical report 106 (593) 33-37 2007/03

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    Sr_2(Ta_<1-x>,Nb_x)_2O_7(perovskite STN; x=0.3) is suitable for use as ferroelectric gate field-effect transistors (FETs) for one-transistor-type ferroelectric memory devices, because it has a low dielectric constant. For applications using metal-ferroelectric-insulator-semiconductor (MFIS) FETs, crystallization of ferroelectric film on insulator is neccessary. Perovskite STN can be successfully obtained on amorphous SiO_2 by ferroelectric-multilayer-stack (FMLS) deposition, which uses alternating steps of STN sputtering deposition and oxygen radical treatment. In this study, we report on a newly developed microwave-excited plasma-enhanced metal-organic chemical vapor deposition (MOCVD) system, in which STN can be deposited in radical oxygen atmosphere. We succeeded in the fabrication of STN on amorphous SiO_2 in a single process. The IrO_2/STN (200 nm)/SiO_2 (10 nm)/p-type Si device shows capacitance-voltage (C-V) hysteresis curves and a memory window of 1.2 V under a 5 V writing operation.

  189. Hardware/Software Automatic Partitioning using Behavioral Synthesis

    IWAMA Daisuke, MIYAMOTO Naoto, SUGAWA Shigetoshi, OHMI Tadahiro

    IEICE technical report 106 (550) 31-36 2007/02/28

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    In this paper, we propose a technique of hardware/software automatically partitioning which achieve to be a highly accurate result for restrictions of design. The mechanism of hardware/software partitioning we propose needs both a source code described C-language and information of profiled source code as input. We use Fiduccia-Mattheyses as heuristic search algorithm for hardware/software partitioning. To achieve short TAT design, we use a technique of behavioral synthesis for designing hardware.

  190. ノーマリオフAccumulation-Mode SOI nMOSFETにおけるHot Carrier Instabilityのメカニズム

    黒田理人, 寺本章伸, Cheng Weitao, 須川成利, 大見忠弘

    ゲートスタック研究会-材料・プロセス・評価の物理-第12回研究会 79-84 2007/02

  191. 局所的ゲートリック電流の統計的評価によるフラッシュメモリのBit不良評価

    熊谷勇喜, 須川成利, 諏訪智之, 宮本直人, 鎌田 浩, 寺本章伸, 大見忠弘

    ゲートスタック研究会-材料・プロセス・評価の物理-第12回研究会 73-78 2007/02

  192. High Quality Gate Insulator Film Formation on SiC at Low Temperature

    TANAKA Koutarou, TERAMOTO Akinobu, SUGAWA Shigetoshi, OHMI Tadahiro

    106 (417) 43-47 2006/12/07

  193. 微細MOSトランジスタ特性の統計的ばらつき評価手法に関する研究

    渡部俊一, 阿部健一, 須川成利, 寺本章伸, 大見忠弘

    第18回マイクロエレクトロニクス研究会プロシーディング 45-48 2006/11

  194. 大規模アレイTEGにより評価した低ビットエラーのKr/O2/NOトンネル酸窒化膜の形成

    諏訪智之, 熊谷勇喜, 寺本章伸, 須川成利, 大見忠弘

    第18回マイクロエレクトロニクス研究会プロシーディング 39-44 2006/11

  195. Electrical Characteristics and Interface Structure of Ultra-thin Si_3N_4 films on Si (100) and Si (110)

    ARATANI Takashi, HIGUCHI Masaaki, HAMADA Tatsufumi, TERAMOTO Akinobu, HATTORI Takeo, SUGAWA Shigetoshi, OHMI Tadahiro, SHINAGAWA Seiji, NOHIRA Hiroshi, IKENAGA Eiji

    IEICE technical report 106 (277) 15-19 2006/09/28

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    We evaluated electrical characteristics of MIS capacitor with Ultra-thin Si_3N_4 films were formed on the Si(100) and (110) surfaces using NH^*. Characteristics of Si_3N_4 formed on Si(100) are almost equal to that on Si(110). We evaluated the interface structure of the Si_3N_4 films on Si(100), Si(110) and Si(111) by high-resolution (100meV) XPS. Amount of the sub-nitride which consists transition-state region between Si and Si_3N_4, is proportional to the inverse number of the area density of these Si interfaces. That is, the higher area density of Si is the lower transition-state region between Si and Si_3N_4. We consider it is because direct nitridation using NH^* induce compression stress to the Si_3N_4 film.

  196. Very Low Bit Error Rate in Flash Memory Using Tunnel Dielectrics Formed by Kr/O_2/NO Plasma Oxynitridation

    SUWA Tomoyuki, KUMAGAI Yuki, TERAMOTO Akinobu, SUGAWA Shigetoshi, OHMI Tadahiro

    IEICE technical report 106 (277) 7-11 2006/09/28

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    The gate leakage current which influences the charge hold time of flash memories is characterized as very localized in tunnel oxide area and relatively low in its quantity. In conventional TEG for evaluation of this leakage current, the gate leakage current are measured in relatively large area capacitors or transistors, as a result, the localized and low gate leakage current cannot be measured. In this paper, we propose the new concept TEG in which the localized gate leakage current corresponding to the bit error in the flash memory can be measured in short time. We statistically evaluated the Stress Induced Leakage Current (SILC) of all cells in very low gate leakage current region (about 10^<-16> A) in the very short time (a few seconds). In addition, we show that plasma oxynitridation using Kr/O_2/NO gases is effective in suppressing the anomalous SILC and carrier traps by statistically evaluation of 60,000 cells in proposed array TEG.

  197. High Performance and Highly Reliable Novel CMOS Devices Using Accumulation Mode Fully Depleted SOI MOSFETs

    CHENG W., TERAMOTO A., KURODA R., GAUBERT P., TYE C., HIRAYAMA M., SUGAWA S., OHMI T.

    IEICE technical report 106 (277) 57-61 2006/09/28

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    We demonstrate that the electron and hole mobilities are improved on both Si (100) and (110) surfaces using accumulation mode (AM) MOSFETs because of the bulk current and lower effective field in the same overdrive bias. Flicker noise characteristics are improved dramatically at AM MOSFETs compared with that at conventional inversion mode (IM) devices. Finally, we demonstrate the negative bias temperature instability (NBTI) characteristics in AM devices are improved about one decade compared with that in IM devices.

  198. A Study on Statistical Evaluation Method for the Variation of MOSFETs

    ABE Kenichi, WATABE Syunichi, SUGAWA Shigetoshi, TERAMOTO Akinobu, OHMI Tadahiro

    IEICE technical report 106 (277) 63-66 2006/09/28

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    We have developed a Test Element Group (TEG) to measure statistical and local variations of electrical characteristics of MOSFETs in a very short time. We actually fabricated TEG by 0.35μm CMOS technology and measured threshold voltages of 223,936 n-MOSFETs per 1 gate size on a 8-inch wafer. We confirmed that the standard deviation threshold voltages is proportional to the inverse of the gate length or that of the gate width. We showed we can easily specify the location of the local defect in LSIs by TEG.

  199. 高機能高画質CMOSイメージセンサ用AD変換器

    舘 知恭, 須川 成利, 小谷 光司, 大見 忠弘

    平成18年東京大学大規模集積システム設計教育研究センター年報 184 2006/09

  200. 高機能高画質CMOSイメージセンサ

    舘 知恭, 須川 成利, 小谷 光司, 大見 忠弘

    平成18年東京大学大規模集積システム設計教育研究センター年報 183 2006/09

  201. Wide Dynamic Range CMOS Image Sensor Technology

    SUGAWA Shigetoshi

    ITE technical report 30 (32) 25-32 2006/06/26

    Publisher: 映像情報メディア学会

    ISSN: 1342-6893

  202. The Dependence of Remaining Carbon in the Electrical Property of the Gate Insulator Film on SiC at Low Temperature Insulator Formation

    TANAKA Koutarou, TANAKA Hiroaki, TERAMOTO Akinobu, SUGAWA Shigetoshi, OHMI Tadahiro

    IEICE technical report 106 (137) 155-159 2006/06/26

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    This paper investigated the influence on the electrical property of remaining carbon in the insulator film of MOS structure on 4H-SiC. The insulator films were formed by PECVD and radical oxynitridation using microwave-excited high-density plasma with NO gas. The oxide fixed charge and the interface trap density can be dramatically reduced by NO gas radical oxynitridation after oxide film formed by PECVD compared with by direct oxynitridation on 4H-SiC. It is confirmed that the electrical property is improved as the decrease of remaining carbon in the insulator film.

  203. The dependence of the intermediate nitridation states density at Si_3N_4/Si interface on surface Si atoms density

    HIGUCHI Masaaki, SHINAGAWA Seiji, TERAMOTO Akinobu, NOHIRA Hiroshi, HATTORI Takeo, IKENAGA Eiji, SUGAWA Shigetoshi, OHMI Tadahiro, KOBAYASHI Keisuke

    IEICE technical report 106 (108) 71-76 2006/06/14

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    We clarify the difference of intermediate nitridation states density of Si for Si_3N_4 films formed on Si(100), Si(110) and Si(111). The Si_3N_4 films were grown by NH in microwave excited high density plasma. The intermediate nitridation states density of Si for Si_3N_4 films were calculated by measuring 1050eV photon excited Si 2p photoelectron spectra with energy resolution of 100meV at soft-x-ray undulator beam line of SPring-8. It is found that the intermediation nitridation states density of Si for Si_3N_4 films formed on Si(100): 5.06×10^<14> atoms/cm^2 is most in that of Si for Si_3N_4 films formed on Si(100), Si(110) and Si(111). And it is found that the intermediate nitridation states density becomes reversely proportional to Si surface density. It is considered that the compressive stress or Si_3N_4 film becomes smaller, as the Si surface density becomes lager.

  204. Research of invention evaluation for patent application decision making support

    KATO Koichiro, ISHII Kazuyoshi, SUGAWA Shigetoshi

    Journal of Information Processing and Management 49 (3) 105-112 2006/06

    Publisher: Japan Science and Technology Agency

    DOI: 10.1241/johokanri.49.105  

    ISSN: 0021-7298

    More details Close

    We investigated an evaluation method of inventions, especially its items in which the inventions would be applied for or not and clarified what items would be important and common for each company regardless of the contents of the inventions (field), company's strategies, or patent strategies, etc. Moreover, we studied what to do concretely to evaluate the investigations commonly and objectively according to the results of the research.

  205. An Over 200dB DR CMOS Image Sensor Combined a Lateral Overflow Integration with a Photo-Current Readout Operation

    SUGAWA Shigetoshi, AKAHANE Nana, RYUZAKI Rie, ADACHI Satoru, MIZOBUCHI Koichi

    ITE technical report 30 (25) 9-12 2006/03/24

    Publisher: 映像情報メディア学会

    ISSN: 1342-6893

  206. 広ダイナミックレンジイメージセンサの最新動向

    須川成利

    映像情報メディア学会誌 60 (3) 299-302 2006/03

    Publisher: The Institute of Image Information and Television Engineers

    DOI: 10.3169/itej.60.299  

    ISSN: 1342-6907

  207. Hole注入法によるNBTI寿命予測

    渡辺一史, 寺本章伸, 黒田理人, 須川 成利, 大見忠弘

    ゲートスタック研究会-材料・プロセス・評価の物理-第11回研究会 367-372 2006/01

  208. NH*による直接窒化膜特性のシリコン結晶面密度依存性

    樋口正顕, 品川誠治, 池永英司, 小林啓介, 野平博司, 寺本章伸, 服部健雄, 須川成利, 大見忠弘

    ゲートスタック研究会-材料・プロセス・評価の物理-第11回研究会 97-102 2006/01

  209. 湿式洗浄プロセスを経たSi(110)表面の原子構造観察

    有馬健太, 加藤潤, 遠藤勝義, 赤堀浩史, 須川成利, 寺本章伸, 大見忠弘

    ゲートスタック研究会-材料・プロセス・評価の物理-第11回研究会 19-24 2006/01

  210. Development of NBTI Lifetime Prediction Method and Evaluation Method using Hole Injection Technique

    寺本章伸, 渡辺一史, 黒田理人, 三富士道彦, 山葉隆久, 須川成利, 大見忠弘

    電子情報通信学会技術研究報告 105 (436(SDM2005 192-200)) 13-18 2005/11/18

    Publisher: 一般社団法人電子情報通信学会

    ISSN: 0913-5685

    More details Close

    Negative Bias Temperature Instability (NBTI) is a crucial issue in reliability since NBTI limits the lifetime of CMOS circuits. To clarify a degradation mechanism of NBTI and develop a model of the degradation caused by the NBT stress, many researchers spent their efforts and discuss the mechanisms of NBTI. In general, high gate and high temperature are applied to accelerate the degradation. These conditions are far from the operation condition of pMOSFETs and might cause the excess degradations during the NBT stress. Especially, the high gate voltage stress can easily change the degradation mechanism so it is difficult to predict the accurate NBTI lifetime when stressing the devices in the high gate voltage condition. In this paper, we develop the NBTI lifetime prediction method using a hole injection method. This hole injection method do not use the high gate voltage in order to accelerate the degradation therefore we can evaluate the actual degradation in the operation condition. Finally, the NBTI lifetime prediction is demonstrated.

  211. 特別寄稿 デジタル化,そして再びアナログ的センスを--半導体集積回路技術の最前線

    須川 成利

    デンソーテクニカルレビュー 10 (2) 3-9 2005/11

    Publisher: デンソー

    ISSN: 1342-4114

  212. Fabrication of ultra clean silicon surface

    KAWASE Kazumasa, UMEDA Hiroshi, INOUE Masao, SUWA Tomoyuki, HIGUCHI Masaaki, TERAMOTO Akinobu, SUGAWA Shigetoshi, OHMI Tadahiro

    IEICE technical report 105 (317) 19-24 2005/10/06

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    Ultra clean silicon surface without uncontrollable oxide film, organic contamination, silicon carbide or hydrogen is fabricated. Leakage current of silicon oxide film is reduced with radical oxidation on this ultra clean silicon surface. H-termination with HF treatment and inert gas annealing are required to prevent the formation of uncontrollable oxide film. Organic contamination on the silicon surface forms SiC by the reaction with silicon dangling bond which is generated by hydrogen desorption during annealing or ion shower. SiC is not removed by oxidation and deteriorates the electrical properties of silicon oxide film. The amounts of organic contamination during pumping down of load lock chamber are more than during air exposure from HF treatment to oxidation. SiC formation is prevented with inert gas substitution in atmospheric pressure in load lock chamber.

  213. EOT Measurement by LC Resonance Method for Ultra Thin Gate Dielectrics

    黒田理人, 寺本章伸, 小村政則, 渡辺一史, 須川成利, 大見忠弘

    電子情報通信学会技術研究報告 105 (318(SDM2005 180-191)) 21-26 2005/09/30

    ISSN: 0913-5685

  214. PELOC : An Automatic Place-and-Route Tool for Dynamically Reconfigurable FPGAs : Application to the Flexible Processor

    MIYAMOTO Naoto, OHKAWA Takeshi, JAMAK Amir, ASHFAQZZAMAN Khan, IWAMA Daisuke, KANTO Hiroaki, KOTANI Koji, SUGAWA Shigetoshi, OHMI Tadahiro

    IEICE technical report 105 (287) 13-18 2005/09/15

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

  215. 横型オーバーフロー蓄積容量を用いた広ダイナミックレンジCMOSイメージセンサの暗電流耐性とオーバーフロー特性

    盛 一也, 須川 成利, 足立 理

    映像情報メディア学会技術報告 29 (40) 49-53 2005/07

    Publisher: 映像情報メディア学会

    ISSN: 1342-6893

  216. Impact of High Performance Accumulation-Mode Fully Depleted SOI MOSFETs

    Cheng Weitao, Akinobu Teramoto, Masaki Hirayama, Shigetoshi Sugawa, Tadahiro Ohmi

    105 (152) 59-62 2005/06

  217. High resolution X-ray photoelectron spectroscopy study on Si_3N_4/Si interface structures and its correlation electrical properties by NH^* direct nitridation process

    Higuchi Masaaki, Komura Masanori, Teramoto Akinobu, Shinagawa Seiji, Ikenaga Eiji, Kobayashi Keisuke, Nohira Hiroshi, Sugawa Shigetoshi, Hattori Takeo, Omi Tadahiro

    Technical report of IEICE. SDM 105 (109) 7-10 2005/06

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    The structure and the electrical property of Si_3N_4 grown by NH^* in microwave excited high density plasma. The sub-nitrides measured by XPS are consisted of Si^+, Si^<2+> and Si^<3+>. The total amount of sub-nitrides is 1.29ML, an abrupt compositional transition is appeared at Si_3N_4/Si interface. The C-V characteristics of the silicon nitrides formed at 400℃ and 600℃ are compared with the total amount of sub-nitrides in each silicon nitride. Hysteresis of C-V curve of MIS capacitor having the Si_3N_4 grown at 400℃ is observed after 600℃ annealing in parallel with increasing of sub-nitrides. Even though, hysteresis and increasing of sub-nitrides after annealing is not appeared in the Si_3N_4 grown at 600℃.

  218. A Wide Dynamic Range CMOS Image Sensor Using a Lateral Overflow Integration Capacitor

    SUGAWA Shigetoshi, AKAHANE Nana, ADACHI Satoru, MORI Kazuya, ISHIUCHI Toshiyuki, MIZOBUCHI Koichi

    ITE technical report 29 (24) 29-32 2005/03/18

    Publisher: 映像情報メディア学会

    ISSN: 1342-6893

  219. 体験的キヤノン流特許活用術

    須川成利

    日経ビズテック (5) 176-181 2005/03

  220. ホール注入を用いたNBTI寿命予測方法

    渡辺一史, 寺本章伸, 黒田理人, 須川成利, 大見忠弘

    ゲートスタック研究会-材料・プロセス・評価の物理-第10回研究会 321-326 2005/01

  221. 極薄ゲート絶縁膜の電気的膜厚測定法

    小村政則, 黒田理人, 渡辺一史, 寺本章伸, 須川成利, 大見忠弘

    ゲートスタック研究会-材料・プロセス・評価の物理-第10回研究会 249-254 2005/01

  222. Low temperature growth of oxynitride thin films using plasma techniques

    Herzl Aharoni, Kazuo Ohtsubo, Yuji Saito, Masaki Hirayama, Shigetoshi Sugawa, Tadahiro Ohmi

    ISREAL PHYSICAL SOCIETY 50th Annual Meeting Physics Department, Technion-IIT 126 2004/12

  223. エレクトロニクス産業用クリーンルームの消火に適応したAr-Co2不活性ガス消火装置の開発と実用化

    福田宗治, 大見忠弘, 須川成利

    第16回マイクロエレクトロニクス研究会プロシーディング 35-42 2004/11

  224. RF回路設計のための大振幅モデル

    渡辺一史, 小谷光司, 寺本章伸, 須川成利, 大見忠弘

    シリコンテクノロジー 66 51-56 2004/11

  225. Improvement of Ferroelectric STN Thin Film Properties by Oxygen Radical Treatment

    ISOGAI Tatsunori, TAKAHASHI Ichirou, SAKURAI Hiroyuki, GOTO Tetsuya, HIRAYAMA Masaki, TERAMOTO Akinobu, SUGAWA Shigetoshi, OHMI Tadahiro

    Technical report of IEICE. SDM 104 (336) 5-10 2004/10/14

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    The effect of oxygen radical treatment for ferroelectric material Sr_2(Ta_<1-x>Nb_x)_2O_7(STN) was investigated. STN is promising material for Floating-Gate type Ferroelectric Random Access Memory (FFRAM), but it's very difficult to oxidize Ta and Nb completely because of their high ionization energies. We have succeeded to oxidize STN thin film completely by Oxygen Radical generated in Microwave-Excited High Density Plasma and improve ferroelectric properties of STN.

  226. A High S/N Ratio Object Extraction CMOS Image Sensor with Column Parallel Signal Processing

    TATE Tomoyasu, SUGAWA Shigetoshi, CHIBA Koji, KOTANI Koji, OHMI Tadahiro

    ITE technical report 28 (58) 33-36 2004/10/14

    Publisher: 映像情報メディア学会

    ISSN: 1342-6893

  227. MOSFETにおける1/fノイズ低減の研究

    戸板真人, 須川成利, 寺本章伸, 大見忠弘

    第18回ゆらぎ現象研究会抄録集 21-27 2003/11

  228. Very High Reliability of Ultrathin Silicon Nitride Gate Dielectric Film for sub-1OOnm Generation

    KOMURA Masanori, HIGUCHI Masaaki, CHENG Weitao, OHSHIMA Ichiro, TERAMOTO Akinobu, HIRAYAMA Masaki, SUGAWA Shigetoshi, OHMI Tadahiro

    Technical report of IEICE. SDM 103 (373) 39-41 2003/10/20

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    This paper focuses attention on electrical properties of ultra-thin silicon nitride (Si_3N_4) films directly grown on Si surfaces by microwave-excited high-density plasma system as an alternative gate dielectric. We demonstrated the electrical characteristics of the MNS capacitors with the Si_3N_4 films. The TDDB lifetime of the MNS capacitor is over 30,000 times larger compared with that of the MOS capacitor with the conventional dry oxide. Furthermore, the hysteresis of C-V curve measured at 400K can not be observed.

  229. High Performance Poly-Si TFT with Thin Gate Oxide Film Grown by Oxygen Radical (O*)

    石井克治, 今泉文伸, 林朋彦, 寺本章伸, 平山昌樹, 須川成利, 大見忠弘

    電子情報通信学会技術研究報告 103 (373(SDM2003 161-168)) 9-12 2003/10/20

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    A conventional gate oxidation process(lOOO℃) which is applied to a poly-Si TFT has the dependence of surface orientation on oxidation rate and causes grain growth. Then this oxidation process causes the silicon interface micro-roughness and large interface trap density. Because of this, the poly-Si TFT performance is deteriorated. In this work, we succeed to grown high quality polyoxide films by oxygen radical(O^*) which is generated by microwave excited high-density plasma technology at low temperature(400℃), and we succeed to fabricates high quality poly-Si TFT.

  230. MOSFETにおける1/fノイズ低減の研究

    戸板真人, 須川成利, 寺本章伸, 大見忠弘

    第14回マイクロエレクトロニクス研究会プロシーディング 21-27 2003/10

  231. インテリジェント・ヒューマン・インターフェース

    舘知恭, 千葉浩児, 小谷光司, 須川成利, 大見忠弘

    東京大学大規模集積システム設計教育研究センター年報 137 2003/10

  232. リアルタイムオブジェクト分離を行なう高機能CMOSイメージセンサ

    須川成利, 舘知恭, 千葉浩児, 赤羽奈々, 小谷光司, 大見忠弘

    映像情報メディア学会技術報告 33-36 2003/10

  233. X線リソグラフィー使用100nm加工の300mmウエハ供給

    古村雄二, 柳田公雄, 須川成利, 大見忠弘

    次世代リソグラフィーワークショップ予稿集 2003/07

  234. プラズマ酸化;窒化によるゲート絶縁膜中に含まれる希ガス原子が電気的特性に与える影響

    樋口正顕, 諏訪智之, 大嶋一郎, 程イ涛, 寺本章伸, 平山昌樹, 須川成利, 大見忠弘

    薄膜・表面物理学会/シリコンテクノロジー分科会共催特別研究会 297-302 2003/01

  235. A New Profitable Stage-Investment Type Fab Model for a System LSI Era

    Yoshio Yamazaki, Shigetoshi Sugawa, Tadahiro Ohmi

    3-5 2002/11

  236. A New Profitable Unit-Type Mini-Fab Model for a System LSI Era

    YAMAZAKI Yoshio, SUGAWA Shigetoshi, OHMI Tadahiro

    Technical report of IEICE. SDM 102 (416) 27-29 2002/10/22

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    A new profitable unit-type mini-fab model suitable for a system LSI era has been developed. The unit-type mini-fab is composed of process units, sub-equipment units, aisle units, shelf stocker units, etc., based on a local clean concept. The unit-type mini-fab brings about many changes in semiconductor manufacturing such as a short fab-design and fab-construction period, a small initial investment in equipments, and a small running cost. A semiconductor plant can be expanded timely to respond to a market demand by a step-by-step investment by combining the unit-type mini-fabs without an investment risk.

  237. Influence of the noble gas atom contained in the plasma oxides and nitrides on the electrical properties

    HIGUCHI Masaaki, SUWA Tomoyuki, OHSHIMA Ichiro, CHENG Weitao, TERAMOTO Akinobu, HIRAYAMA Masaki, SUGAWA Shigetoshi, OHMI Tadahiro

    Technical report of IEICE. SDM 102 (415) 19-26 2002/10/21

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    High integrity SiO_2, Si_3N_4 gate dielectric films grown at 400℃ by the microwave-excited high-density plasma has been developed. In this paper, it is confirmed that Kr atoms includes in the insulator films formed by the microwave-excited high-density plasma. We clarified that amount of residual Kr atoms have influence on the growth rate of the films and the electric characteristics of MOS devices.

  238. Reduction Effect of Flicker Noise by Atomic Scale Flattening Silicon Surface

    TANAKA Koutarou, WATANABE Kazufumi, ISHINO Hideaki, SUGAWA Shigetoshi, TERAMOTO Akinoubu, HIRAYAMA Masaki, OHMI Tadahiro

    Technical report of IEICE. SDM 102 (415) 33-37 2002/10/21

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    It is demonstrated that the formation of the atomic scale flattening Si/SiO_2 interface is effective for the reduction of the Flicker noise in n-MOSFETs. The atomic scale flattened Si/SiO_2 interface is realized, the atomic scale flattened silicon surface by HF/HCl wet-etching process, and then the silicon surface oxidized radical generated in Kr/O_2 mixed high-density microwave-excited plasma at 400℃. Applying these techniques, the trap density at Si/SiO_2 interface is drastically reduced since the surface roughness is improved so Flicker noise drastically reduced than conventional process.

  239. 1/200の圧縮率を実現する演算の省略機能を備えた適応解像度ベクトル量子化プロセッサ

    藤林正典, 野澤俊之, 中山貴裕, 望月健司, 譽田正宏, 小谷光司, 須川成利, 大見忠弘

    電子情報通信学会技術研究報告 102 (234) 17-21 2002/07

  240. Improving Reliability of Ultra Thin Silicon Nitride Gate Dielectric Film Directly Grown at Low Temperature by Microwave-Excited High-Density Plasma

    CHENG Weitao, OSHIMA Ichiro, SHIMADA Hiroyuki, ONO Yasuhiro, HIRAYAMA Masaki, TERAMOTO Akinobu, SUGAWA Sigetoshi, OHMI Tadahiro

    Technical report of IEICE. SDM 102 (133) 13-18 2002/06/13

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    Ultra thin Silicon Nitride gate dielectric film directly formed at low temperature, 400℃, by microwave-exited high-density plasma. The MNS devices with the structure of TaNx metal gate and the Silicon Nitride as gate insulator show excellent electric characteristics even at the EOT=1.25nm. Both of GIXR and TEM shows a satisfactory characteristics at the interface. TDDB characteristics show that the lifetime of Silicon Nitride is more than 30 times of Silicon Oxide's. Further, the reliability of Silicon Nitride has been improved more than 3 times when processing gas had been changed to Kr from Ar.

  241. 半導体製造技術復権への道を拓くマイクロ波励起高密度プラズマ技術

    須川成利, 大見忠弘

    文部科学省特定領域研究(A)「超機能化グローバル・インテグレーション研究」公開シンポジウム 講演予稿集 1-2 2002/03

  242. Profitable Mini-Line and Ultra Clean Technology

    OHMI Tadahiro, SUGAWA Shigetoshi, KISHIDA Yoshiharu

    39 (5) 4-15 2002/01/31

    Publisher: 日本空気清浄協会

    ISSN: 0023-5032

  243. 画像圧縮用ベクトル量子化プロセッサ

    野澤 俊之, 藤林 正典, 中山 貴裕, 譽田 正宏, 小谷 光司, 須川 成利, 大見 忠弘

    計測と制御 = Journal of the Society of Instrument and Control Engineers 40 (12) 897-901 2001/12/10

    Publisher: 計測自動制御学会

    ISSN: 0453-4662

  244. Comparative examination of thin gate oxide films

    Herzl Aharoni, Yuji Saito, Kazuo Ohtsubo, Masaki Hirayama, Shigetoshi Sugawa, Tadahiro Ohmi

    The 47th Meeting of the Israel Physical Society(IPS 2001) 47 33 2001/12

  245. Deposition of high quality silicon oxide films by Kr/O2/SiH4high-density and low ions energy plasma at low temperature(400℃)

    Chuan Jie Zhong, Hiroaki Tanaka, Masaki Hirayama, Shigetoshi Sugawa, Tadahiro Ohmi

    41-47 2001/11

  246. 半導体工場におけるエネルギー削減技術に関する研究=真空断熱材の使用によるクリーンルーム空調設備負荷の低減=

    末永修, 須川成利, 大見忠弘, 太田与洋, 大村高弘

    第12回マイクロエレクトロニクス研究会プロシーディング 57-64 2001/11

  247. 微細素子分離上に形成するトンネル酸化膜の高信頼性化技術

    上田直樹, 山内祥光, 斉藤祐司, 平山昌樹, 須川成利, 大見忠弘

    第12回マイクロエレクトロニクス研究会プロシーディング 49-56 2001/11

  248. 減圧装置内での有機物汚染挙動及び酸化膜耐圧への影響

    林輝幸, 鈴木要, 斉藤美佐子, 須川成利, 大見忠弘

    第12回マイクロエレクトロニクス研究会プロシーディング 33-39 2001/11

  249. Still Image Processing Having Very High Compression Ratio and Quality Using Adaptive Resolution Vector Quantization Technology

    NAKAYAMA T., NOZAWA T., FUJIBAYASHI M., MOCHIZUKI K., KONDA M., KOTANI K., SUGAWA S., OHMI T.

    Technical report of IEICE. ICD 101 (386) 47-52 2001/10/19

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    We have developed a still image encoding system which performs over 1/300 compression ratio with superior image quality to JPEG, where a vector quantization processor featuring a novel concept of the adaptive resolution vector quantization algorithm has been introduced.

  250. Adsorption of Organic Contaminants on Si-Wafer Surface under Vacuum

    HAYASHI Teruyuki, SUZUKI Kaname, SAITO Misako, SUGAWA Sigetoshi, OHMI Tadahiro

    Technical report of IEICE. SDM 101 (350) 23-27 2001/10/09

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    The amounts and rates of adsorption of organic contaminants on silicon wafers were measured at an atmospheric and under vacuum pressures to clarify the effect of environmental pressures on their adsorption behavior. Phenomena that the amounts and rates of adsorption are increased with decrease of the environmental pressures were found. By analyzing the obtained data, the phenomena could be attributed to the mole fraction of organic compounds under vacuum pressures. The adsorption behavior of organic contaminants follows the Langmuir's model of monolayer adsorption.

  251. フレキシブルプロセッサ(24×20モジュール搭載版)

    堺谷智, 小谷光司, 須川成利, 大見忠弘

    東京大学大規模集積システム設計教育研究センター年報 136 2001/08

  252. フレキシブルプロセッサ(24×20モジュール搭載版)

    堺谷智, 小谷光司, 須川成利, 大見忠弘

    東京大学大規模集積システム設計教育研究センター年報 128 2001/08

  253. Low Resistivity TaNx/Ta/TaNx Metal Gate Si_3N_4-MNS Technology Featuring Low-Temperature Processing

    OHSHIMA Ichiro, SHIMADA Hiroyuki, NAKAO Shin-ichi, CHENG Weitao, ONO Yasuhiro, HIRAYAMA Masaki, SUGAWA Shigetoshi, OHMI Tadahiro

    Technical report of IEICE. SDM 101 (247) 71-76 2001/07/27

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    We have developed a low-resistivity metal gate Metal-Nitride-Semiconductor(MNS)FET technology having conventional plane gate structure featuring fully low-temperature processing. The gate stack consists of directly grown Silicon Nitride(Si_3N_4)dielectric using high-density plasma and bcc-phase Tantalum(〜15μΩcm)/Tantalum Nitride(bcc-Ta/TaNx)stacked metal gate below 1.0ohm/sq. In order to avoid deteriorating the metal gate system, we adopted a low-temperature S/D annealing by Solid Phase Epitaxy(SPE)method. In this paper, we demonstrate an excellent characteristic of Fully-Depleted Silicon-On-Dielectric(FDSOI)metal gate MNSFETs having conventional plane gate structure featuring fully low-temperature processing below 450℃.

  254. 平面集積から三次元集積へ

    大見忠弘, 須川成利

    第19回BOC EDWARDS 半導体セミナー講演集 83-99 2001/06

  255. Low Resistivity TaNx/Ta/TaNx Metal Gate FDSOI-CMOS Technology Featuring Low-Temperature Processing

    SHIMADA Hiroyuki, OHSHIMA Ichiro, NKAO Shinichi, NAKAGAWA Munekatsu, SUGAWA Shigetoshi, OHMI Tadahiro

    Technical report of IEICE. SDM 100 (477) 23-30 2000/11/23

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    Low-resistivity (〜15μΩcm) bcc-phased tantalum metal gete CMOS technology having Tantalum Nitride (TaNx) buffer layer have been developed, featuring low-temperature processing. TaNx works as a seed layer which helps self-growth of bcc-phased tantalum film by hetero-epitaxy. In this paper, we demonstrate excellent characteristics of Fully-Depleted Silicon-On-Insulator(FDSOI) CMOS devices using TaNx/bcc-Ta/TaNx stacked metal gate structure(<1Ω/□). Furthermore, transistor characteristics using Silicon Nitride (Si_3N_4) as a gate insulator formed by microwave-excited high-density plasma are also shown.

  256. CMOS Image Sensor Technologies

    SUGAWA Shigetoshi

    IEICE technical report. Electronic information displays 100 (403) 1-6 2000/10/25

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    Recently, CMOS Image Sensors have achieved those high performances such as image qualities by many developer's efforts. In this paper, the issues for future CMOS image sensors in scale-down process technologies and system-on-chip technologies are discussed.

  257. Low Temperature Formation of Silicon Oxynitride Films by Microwave-Excited High-Density Kr/O_2/N_2 Plasma

    OHTSUBO Kazuo, SAITO Yuji, SEKINE Katsuyuki, HIRAYAMA Masaki, SUGAWA Shigetoshi, AHARONI Herzl, OHMI Tadahiro

    Technical report of IEICE. SDM 100 (373) 35-40 2000/10/12

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    Silicon oxynitride films are directly formed on silicon surface at a temperature as low as 400℃ by using microwave-excited high-density Kr/O_2/N_2 plasma. The oxynitride film grown by Kr/O_2/N_2 plasma at 400℃ exhibits lower stress-induced leakage current and higher charge-to-breakdown with respect to SiO_2 films grown by conventional dry oxidation at 1000℃ and by Kr/O_2 plasma at 400℃. The electrical properties of dielectric films can be improved by using Kr/O_2/N_2 plasma compared to those of conventional dry oxidation of Kr/O_2 plasma oxidation.

  258. Damage-free Contact Etching using Balanced Electron Drift Magnetron Plasma

    KAIHARA Ryu, HIRAYAMA Masaki, SUGAWA Shigetoshi, OHMI Tadahiro

    Technical report of IEICE. SDM 100 (373) 9-14 2000/10/12

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

    More details Close

    A new plasma source called balanced electron drift(BED)magnetron plasma has developed for SiO_2 contact/via hole etching. ExB drift of electrons, which is notorious for degrading the uniformity of magnetron plasmas[1], has been completely balanced by applying appropriate 100 MHz rf power to the upper ring electrode. BED magnetron etcher has additional benefit of reducing dopant deactivation in the Si substrate because carbon-rich fluorocarbon film can protect Si surface from high-energy ion bombardment during over-etch period. BED magnetron etcher using Xe gas can reduce a few tens of process steps after contact etch.

  259. 連載[マニュファクチャリング] 製造技術に学問の導入を提言(3) 強誘電体、高誘電率膜を徹底利用へ LSIの付加価値高める基盤技術

    大見忠弘, 須川成利, 平山昌樹, 白井泰雪

    日経マイクロデバイス (184) 170-173 2000/10

  260. 高性能ポリシリコントランジスタの低温製造技術

    大見忠弘, 須川成利, 斉藤祐司, 田中宏明

    第61回応用物理学会学術講演会予稿集 53 2000/09

  261. 連載[マニュファクチャリング] 製造技術に学問の導入を提言(2) 小規模生産ラインを可能にする装置技術、8項目を提案

    大見忠弘, 須川成利, 平山昌樹

    日経マイクロデバイス (183) 186-189 2000/09

  262. 連載[マニュファクチャリング] 製造技術に学問の導入を提言(1) システムLSI、ディスプレイ、太陽電池デバイス技術を塗り替える

    大見忠弘, 須川成利, 平山昌樹

    日経マイクロデバイス (182) 213-220 2000/08

  263. 金属汚染・表面損傷を伴わない高密度プラズマを用いた低温高品質成膜技術

    大見忠弘, 須川成利, 平山昌樹, 斉藤祐司, 田中宏明

    日本学術振興会 第17回薄膜スクール資料 105-111 2000/07

  264. 半導体産業復活のシナリオ

    大見忠弘, 須川成利

    第18回大阪酸素 半導体セミナー-グローバルネットワーク時代の半導体産業- 69-80 2000/06

  265. 製造技術に学問の導入を提言 21世紀を見すえた新デバイス・新生産方式を提案

    大見忠弘, 須川成利, 平山昌樹, 白井泰雪

    日経マイクロデバイス (180) 177-182 2000/06

  266. 家庭内ネットワークの鍵を握るLSI高性能化

    大見忠弘, 須川成利

    実践技術ジャーナル (1) 45-58 2000/05

  267. 低エネルギーイオン照射低温シリコン成膜技術

    大見忠弘, 須川成利, 平山昌樹

    第47回応用物理学関係連合講演会講演予稿集 17 2000/03

  268. Area Auto-Focus CMOS Sensor with new Noise Cancellation Circuits

    TAKAHASHI Hidekazu, SHINOHARA Mahito, SUGAWA Shigetoshi

    Technical report of IEICE. ICD 99 (315) 21-28 1999/09/20

    Publisher: The Institute of Electronics, Information and Communication Engineers

    ISSN: 0913-5685

  269. Integration of Image Input and Signal Process in BASIS

    Shigetoshi Sugawa, Mahito Shinohara

    6th Microelectronics Conference 43-50 1995/11

  270. 31万画素バイポーライメージセンサ(BASIS)

    田中信義, 橋本誠二, 篠原真人, 須川成利, 森下正和, 松本繁幸, 中村佳夫, 大見忠弘

    電子情報通信学会技術研究報告 79-86 1989/09

Show all ︎Show first 5

Books and Other Publications 8

  1. The Micro-World Observed by Ultra High-Speed Cameras: We See What You Don’t See

    Shigetoshi Sugawa

    Springer 2017/09

  2. 写真の辞典

    須川成利

    日本写真学会 2014/09

  3. 画像入力とカメラ

    寺西信一, 須川成利

    オーム社 2012/06/25

  4. 知識ベース

    原島 博

    電子情報通信学会 2011/03/16

  5. デジタルカメラの最先端技術

    竹村裕夫, 須川成利

    株式会社 技術情報協会 2004/10/29

  6. エネルギーを考える

    斉藤浩海, 須川成利

    東北大学出版会 2004/04

  7. 新しい半導体製造プロセスと材料

    大見忠弘, 須川成利

    株式会社シーエムシー 2000/05/31

  8. 家庭用ネットワークの周辺機器技術とセキュリティ

    羽島光俊, 須川成利他

    ミマツコーポレーション 2000/05

    More details Close

    実践技術ジャーナルNo.1

Show all Show first 5

Presentations 45

  1. 高性能コヒーレント軟X線回折イメージセンサsxCMOSの開発

    須川成利

    PF研究会 2022/01/13

  2. 先端軟X線科学への基幹技術, 軟X線検出CMOSイメージセンサsxCMOSの開発

    須川成利

    ISSP Workshop 2021 2021/03/04

  3. CMOSイメージセンサの感度・飽和・撮影速度・分光感度帯域性能の追究

    須川成利

    映像情報メディア学会創立70周年記念大会 2020/12/22

  4. CMOS イメージセンサの広ダイナミックレンジ・高速・広分光感度性能の追究

    須川成利

    電気化学会半導体・集積回路技術シンポジウム 2020/09/15

  5. 軟X線検出CMOSイメージセンサ

    須川成利

    第33回日本放射光学会年会 放射光科学合同シンポジウム 2020/01/12

  6. A 20Mfps Global Shutter CMOS Image Sensor with Improved Sensitivity and Power Consumption International-presentation

    Rihito Kuroda, Tohru Takeda, Fan Shao, Ken Miyauchi, Yasuhisa Tochigi

    2015 International Image Sensor Workshop 2015/06/08

  7. 高速CMOSイメージセンサによる1000万コマ/秒の撮影

    須川成利

    応用物理学会 2014/12/19

  8. 極限イメージングの現在とその将来動向

    新井康夫, エネ研, 香川景一郎, 土屋敏章, 黒田理人

    映像情報メディア学会 情報センシング研究会 2014/07/03

  9. 20Mfpsの撮像速度を有する超高速CMOSイメージセンサの画素構造

    映像情報メディア学会 情報センシング研究会 2014/03/14

  10. 広ダイナミックレンジLOFIC CMOSイメージセンサの最近の技術展開

    次世代画像入力ビジョンシステム部会 2013/06/05

  11. CMOSイメージ・センサ 実践基礎

    日経NEアカデミー 2013/04/26

  12. 超高速ビデオ撮像素子技術

    映像情報メディア学会 情報センシング研究会 2013/03/22

  13. Ultra High Speed Imaging International-presentation

    International Solid-State Circuits Conference 2013/02/21

  14. Recent Trend on Wide Dynamic Range Image Sensor Technologies International-presentation

    Technology Front of Optical Design and Imaging for DSC 2013/01/31

  15. Achievement, Issues, and next steps of CMOS image sensors International-presentation

    PIXEL 2012 2012/09/03

  16. 高速CMOSイメージセンサによる毎秒1000万コマ以上の撮影

    映像情報メディア学会年次大会 2012/08/29

  17. イメージセンサ技術の最新動向について

    次世代パワー・アナログ・センサデバイス研究会オープンセミナー 2012/08/24

  18. 高速CMOSイメージセンサと100万~1000万コマ/秒を超える撮影

    映像情報家ディア学会情報センシング研究会 2012/07/27

  19. バースト1Tpixel/sと連続780Mpixel/sの撮像速度を有するグローバルシャッター高速CMOSイメージセンサ

    画像入力ビジョンシステム部会 2012/04/10

  20. バースト10Mfpsと連続10Kfpsの撮像速度を有する高速CMOSイメージセンサのプロトタイプ試作評価

    映像情報メディア学会 情報センシング研究会 2011/05/13

  21. イメージセンサの高感度化・低ノイズ化の技術動向

    映像情報メディア学会情報センシング研究会 2009/12/11

  22. The Dynamic-Range Enhancement Technologies for CMOS Image Sensors

    Shigetoshi Sugawa

    International Conference on Solid State Devices and Materials 2008/09/25

  23. Wide Dynamic Range on System Level

    Shigetoshi Sugawa

    International Solid-State Circuits Conference, Imager Design Forum 2008/02/03

  24. Recent Progress on Wide Dynamic Range CMOS Image Sensor

    Shigetoshi Sugawa

    International Display Workshops 2007/12/05

  25. 広ダイナミックレンジCMOSイメージセンサ技術

    映像情報メディア学会技術報告 2006/06

  26. 横型オーバーフロー蓄積容量を用いた広ダイナミックレンジCMOSイメージセンサ

    須川成利, 赤羽奈々, 足立理, 盛一也, 石内敏之, 溝渕孝一

    映像情報メディア学会情報センシング研究会 2005/03/18

  27. 固体撮像素子の現状と展望 -雑音電子1個との戦い-

    熊本大学拠点形成研究B公開シンポジウムナノスペース電気化学シンポジウム 2005/03/15

  28. 領域分割イメージセンサ

    次世代画像入力ビジョンシステム部会 2004/03/15

  29. CCD/CMOSの比較検討

    日本光学会 2004/01/19

  30. リアルタイムオブジェクト抽出を行う高機能CMOSイメージセンサ

    須川成利, 舘知恭, 千葉浩児, 赤羽奈々, 小谷光司, 大見忠弘

    映像情報メディア学会情報センシング研究会 2003/10/16

  31. 大画面液晶ディスプレイ駆動回路製造技術の革新

    日本液晶学会 2003/10/14

  32. SoC時代の小規模短期間半導体生産方式

    応用物理学会 2002/03/28

  33. マイクロ波励起プラズマによる窒化膜ゲートトランジスタ

    シリコン超集積化システム第165委員会 2002/02

  34. マイクロローディング効果及びエッチィングダメージを抑制した新規コンタクトホール形成技術

    VLSI Forum 2001/10/23

  35. 高密度プラズマ製膜技術

    UCT シンポジウム 2001/09/22

  36. 21世紀の半導体生産方式

    品質工学会 2001/05

  37. マイクロ波励起プラズマによるポリシリコン低温酸化技術

    応用物理学会 2001/03/29

  38. 次世代半導体技術の展望

    大阪大学COE 2001/03/07

  39. CMOSイメージセンサ技術

    次世代画像入力・ビジョンシステム部会 2001/01/25

  40. CMOSイメージセンサ技術

    電子情報通信学会技術研究報告 2000/11/01

  41. バランスト電子ドリフトマグネトロンプラズマを用いたダメージフリーエッチング

    海原竜, 平山昌樹, 須川成利, 大見忠弘

    電子情報通信学会シリコン材料・デバイス研究会 2000/10/19

  42. 高性能ポリシリコントランジスタの低温製造技術

    第61回応用物理学会学術講演会 2000/09/06

  43. 金属汚染・表面損傷を伴わない高密度プラズマを用いた低温高品質成膜技術

    薄膜131委員会 2000/07/01

  44. 低エネルギーイオン照射低温シリコン製膜技術

    応用物理学会 2000/03/28

  45. 新規ノイズ除去回路を搭載したCMOSエリア型オートフォーカスセンサ

    高橋秀和, 篠原真人, 須川成利

    電子情報通信学会集積回路研究会 1999/09/20

Show all Show first 5

Industrial Property Rights 334

  1. 容量検出エリアセンサ及び、その容量検出エリアセンサを有する導電パターン検査装置

    須川成利, 黒田理人, 後藤哲也, 羽森寛, 村上真一, 安田俊朗

    特許7157423

    Property Type: Patent

  2. 光センサ及びその信号読み出し方法並びに固体撮像装置及びその信号読み出し方法

    須川成利, 黒田理人, 若嶋駿一

    特許6948074

    Property Type: Patent

  3. 光センサ装置

    塚越功二, 須川成利, 黒田理人

    特許6886307

    Property Type: Patent

  4. 時分割分光イメージング分析システム及び時分割分光イメージング分析方法

    須川成利, 藤掛英夫, 石鍋隆宏, 黒田理人, 若生一広

    特許6860772

    Property Type: Patent

  5. 固体光検出器

    須川成利, 黒田理人, 柄澤朋宏, 廣瀬竜太, 古宮哲夫, 森谷直司

    特許6809717

    Property Type: Patent

  6. 受光デバイスおよび受光デバイスの信号読み出し方法

    須川成利, 黒田理人

    特許6671715

    Property Type: Patent

  7. 信号処理方法

    須川 成利, 黒田 理人

    特許第5958980号

    Property Type: Patent

  8. 固体撮像装置

    須川 成利

    5885217

    Property Type: Patent

  9. 固体撮像装置

    須川 成利, 赤羽 奈々, 足立 理

    特許5764784号

    Property Type: Patent

  10. 固体撮像装置

    須川 成利, 赤羽 奈々, 足立 理

    特許5764783号

    Property Type: Patent

  11. 分光計測用フォトダイオードアレイ及び分光計測装置

    冨永 秀樹, 廣瀬 竜太, 田窪 健二, 須川 成利, 黒田 理人

    5892567

    Property Type: Patent

  12. Photodiode array for spectrometric measurements and spectrometric measurement system

    Hideki Tominaga, Ryuta Hirose, Kenji Takubo, Shigetoshi Sugawa, Rihito Kuroda

    9,429,471

    Property Type: Patent

  13. 配線構造体、配線構造体を備えた半導体装置及びその半導体装置の製造方法

    須川 成利, 寺本 章伸, 黒田 理人, 谷 ▲クン▼

    特許第5930416号

    Property Type: Patent

  14. フォトダイオード及びその製造方法、フォトダイオードアレイ、分光光度計、並びに固体撮像装置

    須川 成利, 黒田 理人

    特許5692880号

    Property Type: Patent

  15. 固体撮像素子及びその駆動方法

    須川 成利, 冨永 秀樹, 田窪 健二, 近藤 泰志

    特許5733536号

    Property Type: Patent

  16. SOI基板のエッチング方法及びSOI基板上の裏面照射型光電変換モジュールの作製方法

    大見 忠弘, 大橋 朋貢, 吉川 和博, 吉田 達朗, 内村 徹平, 添田 一喜, 須川 成利

    特許第5565735号

    Property Type: Patent

  17. 露光装置および露光方法

    須川 成利, 森本 達郎, 小坂 光二

    特許5697188号

    Property Type: Patent

  18. 固体撮像素子

    近藤 泰志, 冨永 秀樹, 田窪 健二, 廣瀬 竜太, 須川 成利, 武藤 秀樹

    特許第5674350号

    Property Type: Patent

  19. Solid-state image sensor for capturing high-speed phenomena and drive method for the same

    Shigetoshi Sugawa, Yasushi Kondo, Hideki Tominaga

    9,420,210

    Property Type: Patent

  20. Light-receiving device and method for reading out signal of light-receiving device

    Shigetoshi Sugawa, Rihito Kuroda

    特許11,343,458,

    Property Type: Patent

  21. Optical sensor, signal reading method therefor, solid-state imagingdevice, and signal reading method therefor

    Shigetoshi Sugawa, Rihito Kuroda, Shunichi Wakashima

    特許10,154,222

    Property Type: Patent

  22. Optical sensor device

    Koji Tsukagoshi, Shigetoshi Sugawa, Rihito Kuroda

    特許10,794,760

    Property Type: Patent

  23. Optical sensor and signal readout method therefor, and solid-state image pickup device and signal readout method therefor

    Shigetoshi Sugawa, Rihito Kuroda, Shunichi Wakashima

    特許10,720,467

    Property Type: Patent

  24. Optical gas concentration measuring method by forming a differential signal using lights with different absorbabilities to a raw material in a gas flow path using a time-sharing method

    Masaaki Nagase, Kouji Nishino, Nobukazu Ikeda, Michio Yamaji, Shigetoshi Sugawa, Rihito Kurodo

    特許10,408,742,

    Property Type: Patent

  25. 光センサ及びその信号読み出し方法並びに固体撮像装置及びその信号読み出し方法

    須川 成利, 黒田 理人

    特許6085733

    Property Type: Patent

  26. Optical sensor and solid-state imaging device, and signal reading methodstherefor

    Shigetoshi Sugawa, Rihito Kuroda, Shunichi Wakashima

    特許10,200,641

    Property Type: Patent

  27. Photodiode and method for producing the same, photodiode array,spectrophotometer and solid-state imaging device

    Shigetoshi Sugawa, Rihito Kuroda

    特許9,568,364

    Property Type: Patent

  28. 濃度測定方法

    須川 成利, 黒田 理人

    特許6249427

    Property Type: Patent

  29. Concentration measuring method

    Shigetoshi Sugawa, Rihito Kuroda

    特許10,241,034

    Property Type: Patent

  30. Solid-state light-receiving device for ultraviolet light

    Shigetoshi Sugawa, Rihito Kuroda

    特許10,553,626

    Property Type: Patent

  31. 紫外光用固体受光デバイス

    須川 成利, 黒田 理人

    特許6222640

    Property Type: Patent

  32. 光学的濃度測定方法

    須川 成利, 黒田 理人

    特許6344829

    Property Type: Patent

  33. Optical concentration measuring method

    Shigetoshi Sugawa, Rihito Kuroda

    特許10,324,028

    Property Type: Patent

  34. Solid-state image pickup apparatus

    Shigetoshi Sugawa, Nana Akahane, Satoru Adachi

    9264637

    Property Type: Patent

  35. Solid-state image pickup apparatus

    Shigetoshi Sugawa, Nana Akahane, Satoru Adachi

    9294698

    Property Type: Patent

  36. Solid-state imaging device having plural reset devices for resetting signal lines

    Shigetoshi Sugawa

    9,338,378

    Property Type: Patent

  37. Etching method

    Takeshi Sakai, Tatsuro Yoshida, Kazuhiro Yoshikawa, Shigetoshi Sugawa

    9190337

    Property Type: Patent

  38. Semiconductor device and method of manufacturing the same

    Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa

    9153658

    Property Type: Patent

  39. 紫外光用固体受光デバイス

    須川 成利, 黒田 理人

    特許6416079

    Property Type: Patent

  40. Solid light-receiving device for UV light

    Shigetoshi Sugawa, Rihito Kuroda

    特許10,126,166

    Property Type: Patent

  41. 相補型MIS装置の製造方法

    大見忠弘, 小谷光司, 須川成利

    特許2013-35741

    Property Type: Patent

  42. Analog-to-digital converter and solid-state imaging device

    Shigetoshi Sugawa

    US8,963,760B2

    Property Type: Patent

  43. Solid-state imaging device

    Shigetoshi Sugawa

    9137469

    Property Type: Patent

  44. 半導体物品のエッチング方法

    酒井健, 吉田達朗, 吉川和博, 須川成利

    特許5565718

    Property Type: Patent

  45. Solid-state imaging device

    Tomoya Yoneda, Shigetoshi Sugawa, Toru Koizumi, Tetsunobu Kochi

    8,416,473

    Property Type: Patent

  46. エッチング方法

    酒井健, 吉田達朗, 吉川和博, 須川成利

    特許5534494

    Property Type: Patent

  47. Photodiode and method for producing the same, photodiode array, spectrophotometer and solid-state imaging device

    Shigetoshi Sugawa, Rihito Kuroda

    9214489

    Property Type: Patent

  48. Solid state image pickup device and manufacturing method therefor

    Toru Koizumi, Shigetoshi Sugawa, Isamu Ueno, Tetsunobu Kochi, Katsuhito Sakurai, Hiroki Hiyama

    8,395,193

    Property Type: Patent

  49. 固体撮像装置

    須川成利

    特許5500660

    Property Type: Patent

  50. Solid-state image pickup device and method of resetting the same

    Toru Koizumi, Shigetoshi Sugawa, Tetsunobu Kochi

    US9,083,901B2

    Property Type: Patent

  51. 固体撮像装置

    須川成利

    特許5448207

    Property Type: Patent

  52. 固体撮像装置

    須川成利

    特許5548208

    Property Type: Patent

  53. シリコン酸化膜の形成方法,シリコン窒化膜の形成方法,シリコン酸窒化膜の形成方法

    大見忠弘, 須川成利

    特許5479437

    Property Type: Patent

  54. Method of etching backside Si substrate of SOI substrate to expose SiO.sub.2 layer using fluonitric acid

    Tadahiro Ohmi, Tomotsugu Ohashi, Kazuhiro Yoshikawa, Tatsuro Yoshida, Teppei Uchimura, Kazuki Soeda, Shigetoshi Sugawa

    9240505

    Property Type: Patent

  55. Solid-state imaging device

    Tomoya Yoneda, Shigetoshi Sugawa, Toru Koizumi, Tetsunobu Kochi

    特許8248677

    Property Type: Patent

  56. Solid state image sensor and method for driving the same

    Shigetoshi Sugawa, Hideki Tominaga, Kenji Takubo, Yasushi Kondo

    US9,030,582B2

    Property Type: Patent

  57. 窒化膜の形成方法,酸窒化膜の形成方法

    大見忠弘, 須川成利

    特許5386515

    Property Type: Patent

  58. Solid-state imaging device

    Tomoya Yoneda, Shigetoshi Sugawa, Toru Koizumi, Tetsunobu Kochi

    7936487

    Property Type: Patent

  59. Test circuit, wafer, measuring apparatus, measuring method, device manufacturing method and display apparatus

    Shigetoshi Sugawa, Akinobu Teramoto

    特許7965097

    Property Type: Patent

  60. Electronic device identifying method and electronic device comprising identification means

    Toshiyuki Okayasu, Shigetoshi Sugawa, Akinobu Teramoto

    特許8093918

    Property Type: Patent

  61. Solid-state image sensor

    Yasushi Kondo, Hideki Tominaga, Kenji Takubo, Ryuta Hirose, Shigetoshi Sugawa, Hideki Mutoh

    8,530,947

    Property Type: Patent

  62. Solid-state image sensor

    Yasushi Kondo, HIdeki Tominaga, Kenji Takubo, Ryuta Hirose, Shigetoshi Sugawa, HIdeki Mutoh

    8530947

    Property Type: Patent

  63. Solid state image pickup device and manufacturing method therefor

    Toru Koizumi, Shigetoshi Sugawa, Isamu Ueno, Tesunobu Kochi, Katsuhito Sakurai, Hiroki Hiyama

    特許8138528

    Property Type: Patent

  64. MIS transistor and CMOS transistor

    Takefumi Nishimuta, Hiroshi Miyagi, Tadaihiro Ohmi, Shigetoshi Sugawa, Akinobu Teramoto

    8,314,449

    Property Type: Patent

  65. Solid-state image pickup device and method of resetting the same

    Toru Koizumi, Shigetoshi Sugawa, Tetsunobu Kochi

    8120682

    Property Type: Patent

  66. 固体撮像素子及びその駆動方法

    須川成利, 近藤泰志, 冨永秀樹

    特許4978818

    Property Type: Patent

  67. 固体撮像素子

    須川成利, 近藤泰志, 冨永秀樹

    5176215

    Property Type: Patent

  68. Solid-state image sensor

    Shigetoshi Sugawa, Yasushi Kondo, Hideki Tominaga

    8541731

    Property Type: Patent

  69. 多層配線基板

    大見忠弘, 須川成利, 今井紘, 寺本章伸

    特許5388071

    Property Type: Patent

  70. Multilayer wiring board

    Tadahiro Ohmi, Shigetoshi Sugawa, Hiroshi Imai, Akinobu Teramoto

    8633395

    Property Type: Patent

  71. 強誘電体膜の製造方法と,強誘電体膜を用いた半導体装置

    大見忠弘, 須川成利, 寺本章伸, 高橋一郎, 桜井弘之, 磯貝達典

    特許5300017

    Property Type: Patent

  72. 固体撮像装置

    須川成利, 赤羽奈々, 足立理

    4499819

    Property Type: Patent

  73. Imaging device and method that cancels voltage signal noise based on pre-saturated charges and supersaturated charges

    Shigetoshi Sugawa

    7820467

    Property Type: Patent

  74. Imaging device

    Shigetoshi Sugawa

    特許8120016

    Property Type: Patent

  75. Solid-state imaging device

    Tomoya Yoneda, Shigetoshi Sugawa, Toru Koizumi, Tetsunobu Kochi

    7864384

    Property Type: Patent

  76. 固体撮像素子及びその駆動方法

    須川成利, 近藤泰志, 冨永秀樹

    4844853

    Property Type: Patent

  77. 固体撮像素子及び撮影装置

    須川成利, 近藤泰志, 冨永秀樹

    4844854

    Property Type: Patent

  78. 固体撮像素子

    須川成利, 近藤泰志, 冨永秀樹

    特許4931160

    Property Type: Patent

  79. Solid-state image sensor and imaging device

    Shigetoshi Sugawa, Yasushi KOndo, Hideki Tominaga

    8,269,838

    Property Type: Patent

  80. 固体撮像素子及びその製造方法

    須川成利, 近藤泰志, 冨永秀樹

    5115937

    Property Type: Patent

  81. Solid-state image sensor and method for producing the same

    Shigetoshi Suagwa, Yasushi Kondo, HIdeki Tominaga

    8569805

    Property Type: Patent

  82. Solid-state image sensor

    Shigetoshi Sugawa, Yasushi Kondo, Hideki Tominaga

    US8,988,571B2

    Property Type: Patent

  83. 固体撮像装置

    小泉徹, 樋山拓己, 光地哲伸, 櫻井克仁, 上野勇武, 須川成利

    5274118

    Property Type: Patent

  84. Method and apparatus for managing manufacturing equipment, method for manufacturing device thereby

    Toshiyuki Okayasu, Shigetoshi Sugawa, Akinobu Teramoto

    7848828

    Property Type: Patent

  85. Manufacturing system, manufacturing method, managing apparatus, managing method and computer readable medium

    Toshiyuki Okayasu, Shigetosi Sugawa, Akinobu Teramoto

    7,774,081

    Property Type: Patent

  86. Electronic device identifying method

    Toshiyuki Okayasu, Shigetoshi Sugawa, Akinobu Teramoto

    7812595

    Property Type: Patent

  87. 撮像素子, 画像処理装置, 画像処理システム, 及び記憶媒体

    上野勇武, 櫻井克仁, 小川勝久, 小泉徹, 光地哲伸, 樋山拓己, 須川成利

    4262290

    Property Type: Patent

  88. Method of forming a dielectic film that contains silicon, oxygen and nitrogen and method of fabricating a semiconductor device that uses such a dielectric film

    Tadahiro Ohmi, Shigetoshi Sugawa, Masaki Hirayama, Yasuyuki Shirai

    7718484

    Property Type: Patent

  89. Semiconductor device formed on (111) surface of a Si crystal and fabrication process thereof

    Tadahiro Ohmi, Sigetoshi Sugawa, Katsuyuki Sekine, Yuji Saito

    7,795,106

    Property Type: Patent

  90. 電子デバイス及び解析方法

    岡安俊幸, 須川成利, 寺本章伸

    4813440

    Property Type: Patent

  91. Test circuit, wafer, measuring apparatus, and measuring method

    Shigetoshi Sugawa, Akinobu Teramoto

    7863925

    Property Type: Patent

  92. 製造システム、製造方法、管理装置、管理方法、およびプログラム

    岡安俊幸, 須川成利, 寺本章伸

    特許4918440

    Property Type: Patent

  93. 管理方法、管理装置、及びデバイス製造方法

    岡安俊幸, 須川成利, 寺本章伸

    4776598

    Property Type: Patent

  94. Solid state image pickup device and manufacturing method therefor

    Toru Koizumi, Shigetoshi Sugawa, Isamu Ueno, Tesunobu Kochi, Katsuhito Sakurai, Hiroki Hiyama

    7705373

    Property Type: Patent

  95. Semiconductor device and method of manufacturing the same

    Tadahiro Ohmi, Shigetoshi Sugawa, Akinobu Teramoto, Hiroshi Akahori, Keiichi Nii

    特許8183670

    Property Type: Patent

  96. Complementary MIS device

    Tadahiro Ohmi, Koji Kotani, Shigetoshi Sugawa

    7566936

    Property Type: Patent

  97. 管理方法、及び管理装置

    岡安俊幸, 須川成利, 寺本章伸

    4147262

    Property Type: Patent

  98. Optical sensor and solid-state imaging device

    Shigetoshi Sugawa, Nana Akahane, Satoru Adachi

    特許8,184,191

    Property Type: Patent

  99. テスト用回路、ウェハ、測定装置、デバイス製造方法、及び表示装置

    須川成利, 寺本章伸

    3972076

    Property Type: Patent

  100. Color filter array for a CMOS sensor for generating a color signal in an image pickup apparatus

    Isamu Ueno, Shigetoshi Sugawa, Katsuhisa Ogawa, Toru Koizumi, Tetsunobu Kochi, Katsuhito Sakurai, Hiroki Hiyama

    7724292

    Property Type: Patent

  101. Optical sensor, solid-state imaging device, and operating method of solid-state imaging device

    Shigetoshi Sugawa, Nana Akahane

    7821560

    Property Type: Patent

  102. プラズマ処理装置

    4113896

    Property Type: Patent

  103. プラズマ処理装置

    大見忠弘, 平山昌樹, 須川成利, 後藤哲也

    4113895

    Property Type: Patent

  104. Plasma processing apparatus

    Tadahiro Ohmi, Masaki Hirayama, Shigetoshi Sugawa, Tetsuya Goto

    7670454

    Property Type: Patent

  105. Bonded wafer and method of producing bonded wafer

    Kiyoshi Mitani, Kiyoshi Demizu, Isao Yokokawa, Tadahiro Ohmi, Shigetoshi Sugawa

    7,315,064

    Property Type: Patent

  106. 製造システム、製造方法、管理装置、管理方法、およびプログラム

    岡安俊幸, 須川成利, 寺本章伸

    4095101

    Property Type: Patent

  107. デバイス識別方法、および、デバイス製造方法

    岡安俊幸, 須川成利, 寺本章伸

    4038228

    Property Type: Patent

  108. Solid-state imaging device

    Tomoya Yoneda, Shigetoshi Sugawa, Toru Koizumi, Tetsunobu Kochi

    7616355

    Property Type: Patent

  109. Solid-state imaging device, optical sensor and method of operating solid-state imaging device

    Shigetoshi Sugawa, Satoru Adachi, Kyoichi Yahata, Tatsuya Terada

    7,800,673

    Property Type: Patent

  110. 固体撮像装置、および固体撮像装置の動作方法

    須川成利, 赤羽奈々

    5066704

    Property Type: Patent

  111. 配線付基板およびその製造方法並びに表示装置

    須川成利, 森本明大, 千葉昌彦

    4998763

    Property Type: Patent

  112. Solid-state imaging device, line sensor and optical sensor and method of operating solid-state imaging device

    S. Sugawa

    7,518,143

    Property Type: Patent

  113. 固体撮像装置、ラインセンサ、光センサおよび固体撮像装置の動作方法

    須川成利

    4502278

    Property Type: Patent

  114. 光センサおよび固体撮像装置

    須川成利, 赤羽奈々, 足立理

    4497366

    Property Type: Patent

  115. Semiconductor device formed on (111) surface of a Si crystal and fabrication process thereof

    Tadahiro Ohmi, Shigetoshi Sugawa, Katsuyuki Sekine, Yuji Saito

    7759762

    Property Type: Patent

  116. 固体撮像装置, 光センサおよび固体撮像装置の動作方法

    須川成利, 足立理, 矢幡恭一, 寺田達矢

    4317115

    Property Type: Patent

  117. Solid-state image pickup apparatus

    Katsuhito Sakurai, Shigetoshi Sugawa, Hideyuki Arai, Isamu Ueno, Katsuhisa Ogawa, Toru Koizumi, Tetsunobu Kochi, Hiroki Hiyama

    7973835

    Property Type: Patent

  118. Method of making a substrate having buried structure and method for fabricating a display device including the substrate

    K.Kobayashi, K.Fujino, I.Sakono, T.Ohmi, S.Sugawa, A.Morimoto

    6,992,008

    Property Type: Patent

  119. パターン描画装置および方法

    大見忠弘, 柳田公雄, 須川成利, 武久究, 森本達郎

    特許4929444

    Property Type: Patent

  120. マスク描画方法、及びマスク描画装置

    大見忠弘, 柳田公雄, 須川成利, 武久究, 森本達郎

    4496363

    Property Type: Patent

  121. Organic EL device and liquid crystal display

    Yoshifumi Kato, Tadahiro Ohmi, Shigetoshi Sugawa, Akihiro Morimoto

    7,239,084

    Property Type: Patent

  122. Pattern writing system and pattern writing method

    Tadahiro Ohmi, Shigetoshi Sugawa, Kimio Yanagida, Kiwamu Takehisa

    7663734

    Property Type: Patent

  123. パターン露光装置および二次元光像発生装置

    大見忠弘, 須川成利, 柳田公雄, 武久究

    4541010

    Property Type: Patent

  124. Mask making method, mask making device, and mask drawing device

    Tadahiro Ohmi, Shigetoshi Sugawa, Kiwamu Takehisa

    7,474,383

    Property Type: Patent

  125. Flash memory device and a fabrication process thereof, method of forming a dielectric film

    T.Ohmi, S.Sugawa

    6,998,355

    Property Type: Patent

  126. Flash memory device and a fabrication process thereof, method of forming a dielectric film

    T.Ohmi, S.Sugawa

    7,109,083

    Property Type: Patent

  127. マスク作成方法、パターン露光装置、及び、マスク

    大見忠弘, 須川成利, 柳田公雄, 武久究

    4369248

    Property Type: Patent

  128. 液浸型露光装置

    大見忠弘, 須川成利, 武久究

    4615210

    Property Type: Patent

  129. パターン露光装置

    大見忠弘, 須川成利, 柳田公雄, 武久究

    4463537

    Property Type: Patent

  130. Method of surface treatment for manufacturing semiconductor device

    T. Ohmi, S. Sugawa, A. Teramoto, H. Akahori, K. Nii

    7,179,746

    Property Type: Patent

  131. パターン描画装置

    大見忠弘, 須川成利, 柳田公雄, 武久究

    4455027

    Property Type: Patent

  132. Flash memory device and a fabrication process thereof, method of forming a dielectric film

    6838394

    Property Type: Patent

  133. Flash memory device and a fabrication process thereof, method of forming a dielectric film_

    6846753

    Property Type: Patent

  134. パターン描画装置

    大見忠弘, 須川成利, 柳田公雄, 武久究

    4421268

    Property Type: Patent

  135. マスク描画手法、及びマスク描画装置

    大見忠弘, 須川成利, 柳田公雄, 武久究

    4510429

    Property Type: Patent

  136. 固体撮像装置

    小泉徹, 光地哲伸, 須川成利

    4672976

    Property Type: Patent

  137. パターン描画方法、及びパターン描画装置

    大見忠弘, 柳田公雄, 須川成利, 武久究

    4250052

    Property Type: Patent

  138. 配線製造方法

    須川成利, 千葉昌彦

    4649557

    Property Type: Patent

  139. Image sensing device using MOS type image sensing elements

    T.Kochi, S.Sugawa, I.Ueno, K.Ogawa, T.Koizumi, K.Sakurai, H.Hiyama

    6,946,637

    Property Type: Patent

  140. 電子ビーム露光装置及び露光方法

    大見忠弘, 須川成利, 柳田公雄, 武久究

    4199618

    Property Type: Patent

  141. 半導体装置の製造方法、及び半導体製造装置

    大見忠弘, 須川成利, 寺本章伸, 赤堀浩史, 二井啓一

    4351497

    Property Type: Patent

  142. Solid state image pickup device and manufacturing method therefor

    Toru Koizumi, Shigetoshi Sugawa, Isamu Ueno, Tetsunobu Kochi, Katsuhito Sakurai, Hiroki Hiyama

    7274394

    Property Type: Patent

  143. 撮像装置及びそれを用いた撮像システム

    小泉徹, 光地哲伸, 樋山拓己, 櫻井克仁, 小川勝久, 上野勇武, 須川成利

    4464087

    Property Type: Patent

  144. マスク検査方法、マスク製造方法および露光方法

    大見忠弘, 須川成利, 柳田公雄, 武久究

    4387700

    Property Type: Patent

  145. マスク作成方法およびマスク作成装置

    大見忠弘, 須川成利, 武久究

    4387699

    Property Type: Patent

  146. CMOSトランジスタ

    西牟田武史, 宮城弘, 大見忠弘, 須川成利, 寺本章伸

    4723797

    Property Type: Patent

  147. パターン描画装置

    大見忠弘, 須川成利, 柳田公雄, 武久究

    4220387

    Property Type: Patent

  148. パターン描画方法及びパターン描画装置

    大見忠弘, 須川成利, 柳田公雄, 武久究

    4502596

    Property Type: Patent

  149. Semiconductor device fabricated on surface of silicon having <110> direction of crystal plane and its production method

    Tadahiro Ohmi, Shigetoshi Sugawa

    6903393

    Property Type: Patent

  150. MOS型固体撮像装置の製造方法

    小泉徹, 樋山拓己, 光地哲伸, 櫻井克仁, 上野勇武, 須川成利

    4185807

    Property Type: Patent

  151. パターン描画装置及びパターン描画方法

    大見忠弘, 須川成利, 武久究

    4344162

    Property Type: Patent

  152. 半導体デバイス製造用マスク作成装置

    大見忠弘, 須川成利, 武久究

    4358530

    Property Type: Patent

  153. Flash memory device and fabrication process thereof, method of forming a dielectric film

    T.Ohmi, S.Sugawa

    6,998,354

    Property Type: Patent

  154. Flash memory device and fabrication process thereof, method of forming a dielectric film

    T.Ohmi, S.Sugawa

    7,001,855

    Property Type: Patent

  155. Flash memory device and fabrication process thereof, method of forming a dielectric film

    T.Ohmi, S.Sugawa

    7,026,681

    Property Type: Patent

  156. シリコン半導体基板及びその製造方法

    山中秀記, 出水清史, 大見忠弘, 寺本章伸, 須川成利

    4190906

    Property Type: Patent

  157. Complementary MIS device

    T. Ohmi, K. Kotani, S. Sugawa

    7,202,534

    Property Type: Patent

  158. Substrate processing method and substrate processing apparatus

    Tadahiro Ohmi, Shigetoshi Sugawa, Masaki Hirayama

    7,329,609

    Property Type: Patent

  159. Bonded wafer and method of producing bonded wafer

    K.Mitani, K.Demizu, I.Yokokawa, T.Ohmi, S.Sugawa

    7,052,974

    Property Type: Patent

  160. Substrate having buried structure, display device including the substrate, method of making the substrate and method for fabricating the display device

    6815720

    Property Type: Patent

  161. 回路基板

    大見忠弘, 須川成利, 森本明大, 加藤丈佳, 脇坂康尋

    4130883

    Property Type: Patent

  162. Device and method for plasma processing, and slow-wave plate

    T.Ohmi, M.Hirayama, S.Sugawa, T.Goto

    7,083,701

    Property Type: Patent

  163. Plasma processing device

    T.Ohmi, M.Hirayama, S.Sugawa, T.Goto

    7,097,735

    Property Type: Patent

  164. Plasma processing device

    T.Ohmi, M.Hirayama, S.Sugawa, T.Goto

    7,115,184

    Property Type: Patent

  165. Microwave plasma processing apparatus, plasma ignition method, plasma forming method, and plasma processing method

    T.Ohmi, S.Sugawa, M.Hirayama, T.Goto

    7,141,756

    Property Type: Patent

  166. プラズマ処理装置

    大見忠弘, 平山昌樹, 須川成利, 後藤哲也

    4012466

    Property Type: Patent

  167. Device and control method for micro wave plasma processing

    Tadahiro Ohmi, Masaki Hirayama, Shigetoshi Sugawa, Tetsuya Goto

    7,404,991

    Property Type: Patent

  168. Variable function information processor

    6559674

    Property Type: Patent

  169. Semiconductor device

    T.Ohmi, S.Sugawa, M.Hirayama, Y.Shirai

    6,975,018

    Property Type: Patent

  170. Dielectric film and method of forming it, semiconductor device, non-volatile semiconductor memory device, and production method for semiconductor device

    Tadahiro Ohmi, Shigetoshi Sugawa, Masaki Hirayama, Yasuyuki Shirai

    7,439,121

    Property Type: Patent

  171. 基板処理方法および基板処理装置

    大見忠弘, 須川成利, 平山昌樹

    4252749

    Property Type: Patent

  172. 相補型MIS装置

    大見忠弘, 小谷光司, 須川成利

    4264882

    Property Type: Patent

  173. プラズマ処理装置

    大見忠弘, 平山昌樹, 須川成利, 後藤哲也

    4402860

    Property Type: Patent

  174. 埋め込み構造を有する基板の製造方法および表示装置の製造方法

    小林和樹, 藤野公明, 迫野郁夫, 大見忠弘, 須川成利, 森本明大

    3983019

    Property Type: Patent

  175. 機能可変情報処理装置

    大見忠弘, 堺谷智, 宮本直人, 中田明良, 須川成利

    4564227

    Property Type: Patent

  176. Single crystal cutting method

    T.Ohmi, S.Sugawa, T.Shinohara, T.Ito, K.Kanaya

    6,958,094

    Property Type: Patent

  177. Single crystal wafer and solar battery cell

    Tadahiro Ohmi, Shigetoshi Sugawa, Tatsuo Ito, Koichi Kanaya

    7,459,720

    Property Type: Patent

  178. System for managing circuitry of variable function information processing circuit and method for managing circuitry of variable function information processing circuit

    Tadahiro Ohmi, Tatsuo Morimoto, Akira Nakada, Shigetoshi Sugawa

    7,424,595

    Property Type: Patent

  179. Flash memory device and a fabrication process thereof, method of forming a dielectric film

    6551948

    Property Type: Patent

  180. Method of forming a dielectric film

    6669825

    Property Type: Patent

  181. Semiconductor device formed on (111) surface of a Si crystal and fabrication process thereof

    T.Ohmi, S.Sugawa, K.Sekine, Y.Saito

    7,012,311

    Property Type: Patent

  182. 半導体装置およびその製造方法

    大見忠弘, 須川成利, 平山昌樹, 白井泰雪

    4713752

    Property Type: Patent

  183. プラズマ処理装置

    大見忠弘, 平山昌樹, 須川成利, 後藤哲也

    4729057

    Property Type: Patent

  184. マイクロ波プラズマプロセス装置,プラズマ着火方法,プラズマ形成方法及びプラズマプロセス方法

    大見忠弘, 平山昌樹, 須川成利, 後藤哲也

    4799748

    Property Type: Patent

  185. プラズマ処理装置

    大見忠弘, 平山昌樹, 須川成利, 後藤哲也

    特許5010781

    Property Type: Patent

  186. 誘電体膜およびその形成方法、半導体装置、不揮発性半導体メモリ装置、および半導体装置の製造方法

    大見忠弘, 須川成利, 平山昌樹, 白井泰雪

    5068402

    Property Type: Patent

  187. マイクロ波プラズマプロセス装置及びプラズマプロセス制御方法

    大見忠弘, 平山昌樹, 須川成利, 後藤哲也

    5138131

    Property Type: Patent

  188. 酸化膜の形成方法、酸化膜のスパッタリング方法、酸窒化膜のスパッタリング方法、ゲート絶縁膜の形成方法

    大見忠弘, 須川成利

    特許4966466

    Property Type: Patent

  189. フラッシュメモリ素子の製造方法

    大見忠弘, 須川成利

    特許4987206

    Property Type: Patent

  190. プラズマ反応炉システムの運転制御方法及び装置

    大見忠弘, 須川成利, 平山昌樹, 白井泰雪, 堀正樹

    3982670

    Property Type: Patent

  191. Amplification-type solid state imaging device with reduced shading

    T.Yoneda, S.Sugawa, T.Koizumi

    7,016,089

    Property Type: Patent

  192. 固体撮像装置

    3467013

    Property Type: Patent

  193. Solid-state image pickup device using layers having different refractive indices

    6605850

    Property Type: Patent

  194. Photoelectric transducer

    6437309

    Property Type: Patent

  195. Image pickup device

    Hiroki Hiyama, Shigetoshi Sugawa, Isamu Ueno, Toru Koizumi, Tetsunobu Kochi, Katsuhito Sakurai, Yuichiro Yamashita, Tomoya Yoneda

    7,456,882

    Property Type: Patent

  196. 結晶の切断方法

    3530114

    Property Type: Patent

  197. 半導体シリコン単結晶ウエーハ

    大見忠弘, 須川成利, 伊藤辰夫, 金谷晃一

    3910004

    Property Type: Patent

  198. Method for driving liquid crystal display device

    6683591

    Property Type: Patent

  199. 111面方位を表面に有するシリコンを用いた半導体装置およびその形成方法

    大見忠弘, 須川成利, 関根克行, 斎藤祐司

    4397491

    Property Type: Patent

  200. Solid-state image pickup apparatus

    6850278

    Property Type: Patent

  201. Image sensing apparatus arranged on a single substrate

    T.Koizumi, S.Sugawa, I.Ueno, K.Ogawa, T.Kochi, K.Sakurai, T.Endo, H.Hiyama

    7,129,985

    Property Type: Patent

  202. 固体撮像装置及び画像入力装置

    小泉徹, 光地哲伸, 須川成利

    3833027

    Property Type: Patent

  203. Solid-state image pickup device and method of resetting the same

    T.Koizumi, S.Sugawa, T.Kochi

    7,015,964

    Property Type: Patent

  204. 固体撮像装置とその駆動方法

    3524440

    Property Type: Patent

  205. Sensor unit capable of outputting image signals by blocks and processing circuit which processes image signals by blocks

    6587603

    Property Type: Patent

  206. Image sensing device capable of outputting image signals by blocks and processing circuit which processes image signals by blocks

    6684000

    Property Type: Patent

  207. Image signal processing method, image signal processing system, storage medium, and image sensing apparatus

    Tadahiro Ohmi, Shigetoshi Sugawa, Isam Ueno, Katsuhisa Ogawa, Toru Koizumi, Tetsunobu Kochi, Katsuhito Sakurai, Takahiro Nakayama, Tatsuo Morimoto

    6,898,310

    Property Type: Patent

  208. Image sensing device capable of outputting image signals by blocks and processing circuit which processes image signals by blocks

    6567571

    Property Type: Patent

  209. Image pickup apparatus capable of switching modes based on signals from photoelectric conversion pixels

    6839084

    Property Type: Patent

  210. Solid-state image pickup element

    6831685

    Property Type: Patent

  211. Solid state image pickup device with LDD structure and reset transistor

    6661459

    Property Type: Patent

  212. Solid-state image sensing apparatus and method of operating the same

    H.Hiyama, S.Sugawa, I.Ueno, T.Koizumi, T.Kochi, K.Sakurai

    6,963,372

    Property Type: Patent

  213. Solid-state image pickup device

    6188094

    Property Type: Patent

  214. Solid state image pickup apparatus

    T.Kochi, S.Sugawa, I.Ueno, T.Koizumi, K.Sakurai, H.Hiyama

    7,110,030

    Property Type: Patent

  215. 固体撮像装置

    小川勝久, 上野勇武, 櫻井克仁, 小泉徹, 光地哲伸, 樋山拓己, 須川成利

    3639734

    Property Type: Patent

  216. Image pickup apparatus with color filter array and means for adding and subtracting signals

    6757016

    Property Type: Patent

  217. Solid-state image pickup device with optimum layout of building components around a photoelectric conversion portion

    6633334

    Property Type: Patent

  218. Solid state image pickup device and signal reading method thereof

    6784928

    Property Type: Patent

  219. Image pickup element

    K.Ogawa, S.Sugawa, H.Arai, I.Ueno, T.Koizumi, T.Kochi, K.Sakurai, H.Hiyama

    7,142,233

    Property Type: Patent

  220. 固体撮像装置およびカメラ

    3592106

    Property Type: Patent

  221. 固体撮像装置およびカメラ_

    3592107

    Property Type: Patent

  222. Image sensing device using MOS-type image sensing element whose threshold voltage of charge transfer switch and reset switch is different from that of signal output transistor

    6670990

    Property Type: Patent

  223. カラー撮像装置、画像信号読み出し方法、画像処理装置、画像処理システム、及び記憶媒体

    上野勇武, 桜井克仁, 小川勝久, 小泉徹, 光地哲伸, 樋山 拓己, 須川成利

    4377976

    Property Type: Patent

  224. Photoelectric conversion apparatus

    6118115

    Property Type: Patent

  225. 撮像センサ、画像信号処理方法、画像信号処理システム、撮像装置及び記憶媒体

    小川勝久, 上野勇武, 光地哲伸, 小泉徹, 櫻井克仁, 須川成利

    3667098

    Property Type: Patent

  226. 撮像センサ、画像信号処理方法、画像信号処理システム、撮像装置及び記憶媒体

    光地哲伸, 小泉徹, 櫻井克仁, 小川勝久, 上野勇武, 須川成利

    3728107

    Property Type: Patent

  227. 画像信号処理方法、画像信号処理システム、撮像装置及び記憶媒体

    櫻井克仁, 光地哲伸, 小川勝久, 上野勇武, 須川成利

    4164161

    Property Type: Patent

  228. 画像信号処理方法、画像信号処理システム、記憶媒体及び撮像装置

    大見忠弘, 森本達郎, 中山貴裕, 須川成利, 上野勇武, 小川勝久, 光地哲伸, 小泉徹, 櫻井克仁

    4683678

    Property Type: Patent

  229. 光電変換装置

    3507336

    Property Type: Patent

  230. 撮像素子、画像処理装置、画像処理システム、及び記憶媒体

    櫻井克仁, 光地哲伸, 小川勝久, 上野勇武, 須川成利

    2652123

    Property Type: Patent

  231. 固体撮像装置

    櫻井克仁, 光地哲伸, 小泉徹, 樋山拓己, 上野勇武, 須川成利

    3667094

    Property Type: Patent

  232. Photoelectric transducer

    6163024

    Property Type: Patent

  233. 固体撮像素子及び固体撮像装置

    上野勇武, 櫻井克仁, 小川勝久, 小泉徹, 光地哲伸, 樋山拓己, 須川成利

    3792894

    Property Type: Patent

  234. 固体撮像装置及びその製造方法

    3571909

    Property Type: Patent

  235. CMOSセンサ及び撮像システム

    上野勇武, 桜井克仁, 小川勝久, 小泉徹, 光地哲伸, 樋山拓己, 須川成利

    4006075

    Property Type: Patent

  236. 光電変換装置

    3487575

    Property Type: Patent

  237. 固体撮像装置

    3496918

    Property Type: Patent

  238. 固体撮像装置および固体撮像装置の信号読み出し方法

    3548410

    Property Type: Patent

  239. Solid-state image pickup device having a plurality of photoelectric conversion elements on a common substrate

    5801373

    Property Type: Patent

  240. Semiconductor device

    5998854

    Property Type: Patent

  241. 光電変換装置

    小塚開, 小出能男, 須川成利

    3673620

    Property Type: Patent

  242. Eliminating the influence of random noise produced by an optical black pixel on a reference output

    6130712

    Property Type: Patent

  243. Photoelectric conversion device with graded band gap and carrier concentration

    5869851

    Property Type: Patent

  244. 光電変換装置

    3592037

    Property Type: Patent

  245. Method for driving liquid crystal display device

    6031514

    Property Type: Patent

  246. Production process of color liquid crystal display device

    6132800

    Property Type: Patent

  247. Image correlator, an image processing apparatus using the same, and a signal adder used in the image correlator

    5917960

    Property Type: Patent

  248. Photoelectric conversion apparatus and image reading apparatus with good crosstalk characteristics

    5861655

    Property Type: Patent

  249. Liquid crystal display apparatus with a plural layer connection between the TFT drains and the pixel electrodes

    5644370

    Property Type: Patent

  250. 固体撮像装置

    3142239

    Property Type: Patent

  251. アクティブマトリクス型液晶表示装置

    3143582

    Property Type: Patent

  252. Semiconductor device

    5789790

    Property Type: Patent

  253. Liquid crystal image display unit and method for fabricating semiconductor optical member

    5827755

    Property Type: Patent

  254. Laminated solid-state image pickup device and a method for manufacturing the same

    5677201

    Property Type: Patent

  255. Thin film semiconductor device and photoelectric conversion device using the thin film semiconductor device

    5686734

    Property Type: Patent

  256. Photoelectric conversion apparatus

    5723877

    Property Type: Patent

  257. Photoelectric conversion device and its manufacturing method

    5600152

    Property Type: Patent

  258. Liquid crystal display with display area having same height as peripheral portion thereof

    5513028

    Property Type: Patent

  259. Laminated solid-state image sensing apparatus and method of manufacturing the same

    5557121

    Property Type: Patent

  260. Liquid crystal device and driving method therefor

    5694145

    Property Type: Patent

  261. Liquid crystal device with substrates of different materials and similar thermal expansion coefficients

    5644373

    Property Type: Patent

  262. Semiconductor device including a lateral-type transistor

    5508550

    Property Type: Patent

  263. Laminated solid-state image pickup device

    5481124

    Property Type: Patent

  264. 液晶表示素子の製造方法

    3108835

    Property Type: Patent

  265. Silicon-on-insulator CMOS device and a liquid crystal display with controlled base insulator thickness

    5434441

    Property Type: Patent

  266. Photoelectric converting device and image processing apparatus using the same

    5744849

    Property Type: Patent

  267. Silicon-on-insulator CMOS device and a liquid crystal display with controlled base insulator thickness

    5412240

    Property Type: Patent

  268. Liquid crystal image display unit and method for fabricating semiconductor optical member

    5530266

    Property Type: Patent

  269. Liquid crystal display device

    5691794

    Property Type: Patent

  270. Solid-state image pickup device with a plurality of photoelectric conversion elements on a common semiconductor chip

    5453611

    Property Type: Patent

  271. Photoelectric converting device and image processing apparatus utilizing the same

    5414275

    Property Type: Patent

  272. Photoelectric conversion apparatus

    6127692

    Property Type: Patent

  273. 液晶表示装置

    3079402

    Property Type: Patent

  274. 液晶表示装置及びその検査方法

    3122866

    Property Type: Patent

  275. 液晶表示装置

    2987794

    Property Type: Patent

  276. イメージセンサ及び画像情報処理装置

    3083013

    Property Type: Patent

  277. イメージセンサ及び画像情報処理装置

    3420555

    Property Type: Patent

  278. 固体撮像装置_

    3083014

    Property Type: Patent

  279. イメージセンサ

    3227249

    Property Type: Patent

  280. 表示パネル

    3127328

    Property Type: Patent

  281. アクティブマトリクス型液晶表示装置_

    3243583

    Property Type: Patent

  282. 液晶素子の駆動方法および装置

    3090239

    Property Type: Patent

  283. Signal processor having avalanche photodiodes

    5401952

    Property Type: Patent

  284. Photoelectric transfer device

    5260560

    Property Type: Patent

  285. アクティブマトリックス液晶表示装置

    2824818

    Property Type: Patent

  286. 液晶画像表示装置の製造方法

    3154100

    Property Type: Patent

  287. 液晶表示装置

    3069930

    Property Type: Patent

  288. 半導体装置及び液晶表示装置

    3191061

    Property Type: Patent

  289. Photoelectric converting device and image processing apparatus utilizing the same

    5245201

    Property Type: Patent

  290. Photoelectric converting device and information processing apparatus employing the same

    5283428

    Property Type: Patent

  291. Image sensor device having plural photoelectric converting elements

    RE34309

    Property Type: Patent

  292. Photoelectric transfer device

    5155351

    Property Type: Patent

  293. 光電変換装置

    2959681

    Property Type: Patent

  294. 光電変換装置_

    2977165

    Property Type: Patent

  295. 光電変換装置

    2765635

    Property Type: Patent

  296. 光電変換装置

    2977164

    Property Type: Patent

  297. Photoelectric transducer apparatus having a plurality of transducer elements and a plurality of capacitor elements

    5019702

    Property Type: Patent

  298. 光電変換装置

    2838906

    Property Type: Patent

  299. Photoelectric conversion apparatus with reresh voltage

    5060042

    Property Type: Patent

  300. Photoelectric conversion apparatus without isolation regions

    4962412

    Property Type: Patent

  301. Semiconductor device and signal processing device having said device provided therein

    5040041

    Property Type: Patent

  302. 半導体装置及びそれを搭載した信号処置装置

    2642750

    Property Type: Patent

  303. Signal read-out circuit which lowers diffusion capacitance by limiting emitting current with resistive elements

    4967067

    Property Type: Patent

  304. Photoelectric conversion apparatus with shielded cell

    4972243

    Property Type: Patent

  305. Device and method of photoelectrically converting light into electrical signal

    4847668

    Property Type: Patent

  306. Photo signal storing sensor device

    4870266

    Property Type: Patent

  307. Photoelectric converting apparatus having carrier eliminating means

    4879470

    Property Type: Patent

  308. Photoelectric converting apparatus to prevent the outflow of excess carriers

    4866293

    Property Type: Patent

  309. Photoelectric converting apparatus with a switching circuit and a resetting circuit for reading and resetting a plurality of lines sensors

    4835404

    Property Type: Patent

  310. Photoelectric conversion device with reduced fixed pattern noises

    4810896

    Property Type: Patent

  311. 光電変換装置

    平8-4132

    Property Type: Patent

  312. Image sensor device having plural photoelectric converting elements

    4831454

    Property Type: Patent

  313. 撮像装置

    石崎明, 中村佳夫, 須川成利

    平5-63070

    Property Type: Patent

  314. 光電変換素子の蓄積信号処理装置

    石崎明, 中村佳夫, 須川成利

    平7-10098

    Property Type: Patent

  315. 光電変換装置

    須川成利

    平6-82819

    Property Type: Patent

  316. 撮像装置

    2589297

    Property Type: Patent

  317. 光電変換装置

    平8-15320

    Property Type: Patent

  318. 光電変換装置

    2501207

    Property Type: Patent

  319. Photoelectric conversion device

    4751559

    Property Type: Patent

  320. 光電変換装置

    平7-120767

    Property Type: Patent

  321. 光電変換装置

    2741703

    Property Type: Patent

  322. 光電変換装置

    田中信義, 中村佳夫, 須川成利, 大図逸男

    平6-44619

    Property Type: Patent

  323. Photoelectric converting device

    4814846

    Property Type: Patent

  324. 光電変換装置

    平7-36615

    Property Type: Patent

  325. 光電変換装置

    平7-46839

    Property Type: Patent

  326. 光電変換装置

    須川成利, 田中信義, 鈴木敏司

    平6-54957

    Property Type: Patent

  327. 光電変換装置

    須川成利, 田中信義, 鈴木敏司, 大見忠弘

    平6-93764

    Property Type: Patent

  328. 光電変換装置

    須川成利, 田中信義, 鈴木敏司, 大見忠弘

    平6-101814

    Property Type: Patent

  329. 光電変換装置

    平7-60888

    Property Type: Patent

  330. 光電変換装置

    平7-19881

    Property Type: Patent

  331. 光電変換装置__

    平5-23550

    Property Type: Patent

  332. 光電変換装置

    田中信義, 鈴木敏司, 鈴木常夫, 尾崎正晴, 須川成利, 篠原真人

    平5-23548

    Property Type: Patent

  333. 光電変換装置_

    田中信義, 鈴木敏司, 鈴木常夫, 尾崎正晴, 須川成利, 篠原真人

    平5-23549

    Property Type: Patent

  334. 固体撮像装置

    平7-73344

    Property Type: Patent

Show all Show first 5

Research Projects 11

  1. Image Sensor, Electronic Device, Integrated Circuit, Semiconductor Process Competitive

    1999/09 - Present

  2. 作物の生理障害の機構解明におけるブレークスルーテクノロジーの開発と検証

    金山 喜則, 高橋 英樹, 渡部 敏裕, 須川 成利, 栗原 大輔, 黒田 理人

    Offer Organization: 日本学術振興会

    System: 科学研究費助成事業

    Category: 基盤研究(A)

    Institution: 東北大学

    2021/04/05 - 2026/03/31

  3. Establishment of a CMOS image sensor with photon countable sensitivity, linear response and high full well capacity

    Sugawa Shigetoshi

    Offer Organization: Japan Society for the Promotion of Science

    System: Grants-in-Aid for Scientific Research

    Category: Grant-in-Aid for Scientific Research (A)

    Institution: Tohoku University

    2015/04/01 - 2018/03/31

    More details Close

    Toward the establishment of a CMOS image sensor with photon countable sensitivity and high full well capacity with linear response, capable of clearly capturing image shooting targets over 5 decade of light illumination conditions, an ultra-high sensitivity signal readout technology was developed in this research based on formerly developed CMOS image sensors with lateral overflow integration capacitor (LOFIC). By the minimization of capacitance of floating diffusion that convert photo-electrons to voltage signal and the introduction of multiple gain column parallel amplifiers, a very low input-referred noise performance of 0.47 electrons was achieved. Moreover, signal readout noise of input-referred 0.2 electron and full well capacity of 50,000 electrons are estimated to be obtained by introducing multi sampling readout scheme of pixel signals and lowering thermal noise of signal readout chain.

  4. Accurate measurement and statistical analysis of gate leakage current of MOSFETs with atomically flat interface

    SUGAWA Shigetoshi, KURODA Rihito

    Offer Organization: Japan Society for the Promotion of Science

    System: Grants-in-Aid for Scientific Research

    Category: Grant-in-Aid for Scientific Research (B)

    Institution: Tohoku University

    2012/04/01 - 2015/03/31

    More details Close

    Atomically flattening technology of gate insulator film/Si interface was introduced to a 0.22 um CMOS LSI manufacturing technology. It was clarified that the atomic level flatness is obtained at the interface of miniaturized MOSFET by introducing the Si surface flattening process at a temperature less than 850 C right before gate insulator film formation process step. The array test circuit was fabricated based on the introduced technology. By measuring gate current of over 80000 MOSFETs with gate insulator film thickness of 7.7 nm within 80 sec with 10aA accuracy using the developed high accuracy statistical measurement technology, it was confirmed that the appearance probability of MOSFETs with large gate current is decreased by one order of magnitude in comparison to the conventional MOSFETs of which roughness at the gate insulator film/Si interface is about 1 nm.

  5. A high performance CMOS image sensor with high sensitivity and wide dynamic range

    SUGAWA Shigetoshi

    Offer Organization: Japan Society for the Promotion of Science

    System: Grants-in-Aid for Scientific Research

    Category: Grant-in-Aid for Scientific Research (B)

    Institution: Tohoku University

    2007 - 2008

  6. An over-100dB wide dynamic range solid-state image sensor with high sensitivity and high S/N ratio.

    SUGAWA Shigetoshi, KONANI Koji

    Offer Organization: Japan Society for the Promotion of Science

    System: Grants-in-Aid for Scientific Research

    Category: Grant-in-Aid for Scientific Research (B)

    Institution: Tohoku University

    2004 - 2005

    More details Close

    The purpose of this research is to propose the solid state image sensor with high sensitivity and high S/N performance that equals the solid state image sensor of a current best performance and exceed five digits (100dB) dynamic range and to build the base of the achievement technology. In the first year, it has succeeded in the design, making a chip and camera operation of the wide dynamic range CMOS image sensor that have the lateral overflow capacitor being adjacent to the pinned-photodiode of each pixel and storage exceeded saturation carriers in the same exposure time, and the characteristic of the dynamic range of 100dB was achieved. In the next year, the CMOS image sensor quantitatively examines the tolerance to the leakage current, dark current and noise at a high luminance light irradiation. The photoelectron that overflowed from the photodiode was able to accumulate in the lateral overflow capacitor effectively and the leakage to the adjacent pixels corresponds to a ratio of the 10^<-3> or less, and the tolerance to the noise of the signal that accumulated in the lateral overflow capacitor had 100 times or more the tolerance for the noise signal of the photodiode. Moreover, the change of the design of the lateral overflow capacitor in the pixel and the operation timing have been done to achieve the color image sensor, and the improvement of linearity of photoelectric conversion characteristic and sensitivity. The color CMOS image sensor having effective number of pixels 640x480, pixel size 7.5um was fabricated, and the high-resolution color taking picture performance that had the characteristic of the dynamic range of 102dB was achieved. By researching this CMOS image sensor, the base of the wide dynamic range and high quality imaging technology with good time and spatial sampling was able to be built.

  7. Ultra-High-Speed and High-Precision Integration Circuit Using Si(110) Surface Metal Substrate SOI Balanced-CMOS

    OHMI Tadahiro, HIRAYAMA Masaki, KOTANI Koji, SUGAWA Shigetoshi

    Offer Organization: Japan Society for the Promotion of Science

    System: Grants-in-Aid for Scientific Research

    Category: Grant-in-Aid for Scientific Research (A)

    Institution: TOHOKU UNIVERSITY

    2002 - 2003

    More details Close

    In order to improve the quality of Si_3N_4 gate insulator used as the gate insulator in MISFET, microwave-excited Xe plasma gas was used instead of conventional Ar plasma. Xe plasma can realize damage-free processes because the electron temperature is very low (0.5 eV). As a result, life time of the insulator (Qbd) became 10^4 times as long as that of the conventional one. In addition, the room temperature 5 steps cleaning were introduced instead of the conventional RCA cleaning. This cleaning can realize flat Si(110) surface (Ra:=0.08nm). Therefore, 1/f noise was suppressed 10^2 times as low as the conventional level and hole mobility was 2.5 times improved and balanced as compared with electron mobility. Appling those technologies balanced-CMOS in which the areas of nMOS and pMOS are the same was fabricated. Theoretically predicted properties of the balanced-CMOS were confirmed experimentally. Particularly, the 101 steps CMOS ring oscillation was successfully observed and the merits of the usage of Si(110)surface as compared with Si(100)surface was confirmed. As a result, the technology for the fabrications of system-LSI with operating frequency range above 10 GHz was established for network home information appliances in the next generation.

  8. 微細化世代に依存しないダメージフリー新規コンタクト/ビア形成技術の研究

    須川 成利, 大見 忠弘

    Offer Organization: 日本学術振興会

    System: 科学研究費助成事業

    Category: 特定領域研究

    Institution: 東北大学

    2001 - 2003

    More details Close

    本研究の目的は、本特定領域研究で実現を目指す次世代ヒューマンインターフェースデバイス創製のための微細高密度デバイスを作成するプロセス、特に高密度多層配線を形成するためのダメージフリー新規コンタクト/ビア形成技術の開発、3次元構造を有する超高密度トランジスタの形成要素技術の開発を行うことである。 マイクロローディング効果とダメージのないエッチング技術の開発に関しては、まず、プラズマ励起と独立に基板側高周波印加条件を制御できる新規な2段シャワープレートマイクロ波励起高密度プラズマ装置を設計・製作した。これを用いてエッチングプロセスの研究を行い、マイクロ波電力によりプラズマ密度を、また高周波電力によりイオン照射エネルギーを独立に制御できることを確認した。さらに、プロセスガスを2段シャワープレートから拡散プラズマ領域に導入することによりプロセスガスの解離を制御しマイクロローディング効果とダメージのない微細ホールエッチングができることを実験的に明らかにした。また、あらゆる面方位のシリコンおよび各種酸化物の高品質酸化・窒化技術の開発に関しては、既開発のマイクロ波励起高密度低電子温度プラズマ装置を使用して、あらゆる面方位のシリコンに高品質・均一な酸化膜・窒化膜を形成できることを確認し、Kr/NH_3およびXe/NH_3ガスを同装置に導入してシリコンを直接窒化し、世界で初めて従来の熱酸化膜を上回る高品質なゲートSi_3N_4膜を形成することに成功した。このSi_3N_4膜を高誘電率ゲート絶縁膜に用いたトランジスタを作製し、(110)面方位シリコンを用いればトランジスタの電流駆動能力を大幅に向上できることを見出し、高性能で実用的な次世代90nm以降の微細化世代のトランジスタ構造を明らかにした。

  9. Photo-resist. Stripping. Technology. by. Gas-liquid. mixture. for. Highly-efficient. Ultra-short-time. Semiconductor. Manufacturing

    SUGAWA Shigetoshi, OHMI Tadahiro

    Offer Organization: Japan Society for the Promotion of Science

    System: Grants-in-Aid for Scientific Research

    Category: Grant-in-Aid for Scientific Research (B)

    Institution: TOHOKU UNIVERSITY

    2001 - 2002

    More details Close

    High-performance and ultra-low power consumption PDAs as a degital-network-communication tool is strongly required in the grobal-network-information society. In such tool, large-scale integrated system which can catch up with changing cutstomer needs day by day is essencial, and therefore ultra-short-time semiconductor manufacturing is required. In this study, ultra-short-time and highly efficient photo-resist stripping technology which can drastically improve semiconductor manufacturing efficiency is established. AT first, we have developed a experimental equipment, featuring the ability to hange a injection speed, injection quantity, injection pressure of gas-liquid mixture, substrate movement speed, inozzle movement speed an so on. With this equipment, we have optimized such parameters and obtained that the photo-resiston 8 inch wafer can be stripped only in one minute, nevertheless the arsenic ions implantation (10E16 atoms/cm2) have been taken to the photo-resisit, which cannot be stripped easily even if O2 plasma ashing Followed by the chemical cleaning with the 4:1 mixture of 98% H2SO4 and 30% H2O2 have been done. Moreover, in order to shorten the processing time, we have imvestiated the pre-treatment process Followed by the treatment by the gas-liquid mixture. As a result, we have obtained that the O3-added ultrapure-water treatment with pH control by CO2 addition can effectively remove the photo-resist. As mentioned above, we have established the foundation for the short-time photo-resist stripping technology for highly efficient and ultra-short-time semiconductor manufacturing.

  10. Highly Sesitive and High-resolution Amplification Solid-State Imagine System with Instant Imaging Parareli Processor

    SUGAWA Shigetoshi, OHMI Tadahiro, KOTANI Koji

    Offer Organization: Japan Society for the Promotion of Science

    System: Grants-in-Aid for Scientific Research

    Category: Grant-in-Aid for Scientific Research (A)

    Institution: TOHOKU UNIVERSITY

    2001 - 2002

    More details Close

    This research aims at realizing of personal mobile system which can instantly import and modify information in worldwide through global network, then make a transmission or a record, and quickly find and utilize a required datum in enormous amount of information. In order to realize such system, instant transmission of the high-definition picture information, record, and search are essential technologies. Therefore, we developed the algorithm which raises the rate of picture compression after separating object completely at the time of imaging, and built the foundation of realization of the intelligent man-machine-interface system equipped with recognition and judgment processing. First, in order to form amorphous photoelectric film used as photoelectric conversion layer without structural failure, we created the gas flow control system featuring the gas pressure control method, which can instantly control composition of gas-mixture in film formation chamber, and microwave excited high-density plasma equipment having dual shower-plate featuring low electron temperature, in which the novel exhaust pumps featuring non-equivalent pitch and non-equivalent angle of lead screw are implemented. By using this equipment, we established the foundation to form the highly reliable photoelectric film, which is formed by high-quality CVD, and highly selective RIE for miniaturized contact/ via-hole. Both of processes are realized by the selection of plasma-excitation gases and process-gases, respectively, which can realize suppression of both excess-decomposition of process gases and ion-bombardment-damages. Moreover, in order to realize the highly sensitive and highly efficient image sensor which carries out noise control while imaging picture at the video rate and extracts the attributes and the amount of features which each imaged object has characteristic, such as a position of the depth direction, motion, color balance, and roughness (spatial frequency), on real time, and carries out category separation, we examined the device, circuit, and processing algorithm in detail, and completed the chip design. Furthermore, we devised the technique of choosing the optimal image compression algorithm for every divided object category and established the foundation of the new vector quantization technique which maintains high image quality and realizes the overwhelming high compression rate.

  11. Development of ultra-high-speed LSI with gas-isolated-interconnects and Ta metal gate transistors on SOI substrate

    OHMI Tadahiro, HIRAYAMA Masaki, KOTANI Koji, SUGAWA Shigetoshi

    Offer Organization: Japan Society for the Promotion of Science

    System: Grants-in-Aid for Scientific Research

    Category: Grant-in-Aid for Scientific Research (A)

    Institution: Tohoku University

    2000 - 2001

    More details Close

    The purpose of this study is to establish ultra-high speed and high integrated giga scale integration technology that the operating frequency of integrated circuit has been accelerated up to 20 GHz though the maximum speed was so far thought less than 1 GHz in order to develop the ideal device structure, process and material to realize ultra acceleration and low electric power consumption of semiconductor integrated circuit. Because of a problem of Gate depletion, a metal gate should be needed. In this study, Ta metal gate technology characterized by the low-temperature process was developed. Especially, using perfect low-temperature processes below 450 ℃, Ta gate FD-SOI MNSFET using the direct silicon nitride as a gate insulator was made for the first time, and it was found that the sub-threshold coefficient had the ideal property of 66 mV/dec, and the interface property was very good. Furthermore, it is clarified that the mutual conductance of this MNSFET was higher than that of conventional MOSFET at high gate bias region, and also the current drive capability was higher than that of conventional MOSFET. The performance and reliability of the SOI device is strongly influenced to the electric activity defect of Si/SiO_2 (SOI/BOX) interface. In this study, the back gate bias - mutual conductance property of FD-SOI MOSFET was experimentally measured for the first time. Moreover, the formula of the surface potential in the thin film SOI MOS device in consideration of the potential drop between the SOI layer - substrate was newly derived, and also the energy level of the trap level for the SOI/BOX interface corresponding to a kink phenomenon and the high dose SIMOX substrate trap level and its density were clarified. With miniaturization of a MOS device, the electric power-supply voltage must be reduced in order to improve the performance. Therefore, the reduction of the low frequency noise for improving a S/N ratio becomes very important from now on. In this research, it is clarified that the low frequency noise property of a partial depletion type SOI device was analyzed for the first time, and also the noise spectrum in SOI MOSFET adopted the ELTRAN wafer is purely equivalent to 1/f type in the pre-kink domain.

Show all Show first 5

Teaching Experience 20

  1. 電子回路Ⅱ 東北大学 工学部

  2. 電子回路B 東北大学 工学部

  3. 電気回路学Ⅱ 東北大学 工学部

  4. 集積回路工学 東北大学 工学部

  5. 半導体デバイス 東北大学 工学部

  6. 電子工学概論 東北大学 工学部

  7. 工業物理学概論 東北大学 工学部

  8. 画像電子工学 東北大学 工学部

  9. 技術マネジメント概論 東北大学 工学部

  10. 創造工学研修 東北大学 工学部

  11. 基礎ゼミ 東北大学 工学部

  12. 技術適応計画特論 東北大学 大学院工学研究科

  13. 極限表面制御プロセス工学特論 東北大学 大学院工学研究科

  14. 極限知能デバイス工学特論 東北大学 大学院工学研究科

  15. 知能デバイス工学 東北大学 大学院工学研究科

  16. デバイス生産工学 東北大学 大学院工学研究科

  17. エクステンションスクール 東北大学 大学院工学研究科

  18. イメージセンシング工学 東北大学 大学院工学研究科

  19. プロジェクトマネジメント論 東北大学 大学院工学研究科

  20. イノベーションマネジメント論 東北大学 大学院工学研究科

Show all Show first 5

Media Coverage 45

  1. 須川成利教授がScholarGPSの生涯業績の部においてトップ・スカラーに選ばれました。(須川PJ)

    東北大学未来科学技術共同研究センター

    2024/10/21

    Type: Other

  2. 半導体テクノロジーシンポジウム 画期的な技術 産官学で共創

    日本経済新聞

    2022/11/28

    Type: Newspaper, magazine

  3. 社会に役立つ製品に「高速度ビデオカメラ」など表彰

    NHK おはようニッポン

    2022/11/04

    Type: TV or radio program

  4. 半導体の高度人材、九州は手薄、「弱い大学」返上なるか

    日本経済新聞 電子版

    2022/06/08

    Type: Newspaper, magazine

  5. 須川成利リサーチプロフェッサーが第47回井上春成賞を受賞

    東北大学

    2022/06/02

    Type: Other

  6. ナノバブルの安定化と作用メカニズムを解明 水と空気を利用した「人」と「環境」に優しい工学技術を確立(須川PJ)

    東北大学未来科学技術共同研究センター

    2021/04

    Type: Other

  7. 「見る世界」を根本的に変革するCMOSイメージセンサの極限性能の追求

    ながれともにながれをこえて

    2020/12

    Type: Newspaper, magazine

  8. 高感度・高精細・リアルタイム近接容量イメージセンサを開発

    東北大学

    2019/01

    Type: Other

  9. 111年目を迎えた東北大学は半導体の天才を次々と生み出した

    電子デバイス産業新聞

    2018/11/30

    Type: Newspaper, magazine

  10. 超小型紫外線センシングSiフォトダイオードを実用化

    東北大学

    2018/07

    Type: Other

  11. 産官学のフューチャープランCMOSイメージセンサ第一人者の須川教授が指導

    電子デバイス産業新聞

    2017/11/23

    Type: Newspaper, magazine

  12. 技術社会システム専攻の須川成利教授の研究グループが光感度をISO16000に高めた毎秒1,000万コマの超高速撮影が可能な高速度ビデオカメラの製品実用化に成功しました。

    東北大学工学研究科・工学部

    2015/07/17

    Type: Other

  13. 秒間1000万コマの超高速撮影 高速度ビデオカメラ発売

    電波新聞

    2015/07/17

    Type: Newspaper, magazine

  14. 高速ビデオカメラ 光感度6倍に向上

    化学工業日報

    2015/07/17

    Type: Newspaper, magazine

  15. 毎秒1000万コマ撮影可能 高速度ビデオカメラ開発 島津

    日刊工業新聞

    2015/07/17

    Type: Newspaper, magazine

  16. 1,000万コマ/秒の超高速撮影と従来機比約6倍の光感度を実現した

    株式会社島津製作所

    2015/07/16

    Type: Other

  17. 「平成27年度全国発明表彰」の「日本経済団体連合会会長発明賞」を受賞

    キヤノン株式会社

    2015/05/21

    Type: Other

    More details Close

    キヤノンの「CMOSセンサーのシェーディング低減技術の発明」(特許登録第3467013号)が、公益社団法人 発明協会が主催する「平成27年度全国発明表彰」において「日本経済団体連合会会長発明賞」を受賞しました。

  18. 科学を変える1,000万分の1秒

    2013/03

    Type: Newspaper, magazine

    More details Close

    島津製作所 広報誌 28号(2013年)

  19. 1000万分の1秒の世界をとらえる

    JST

    2012/12

    Type: Newspaper, magazine

    More details Close

    JSTニュース 社会に広がる新技術 ~JSTの研究開発成果から~

  20. 「平成 24 年度関東地方発明表彰」の「日本弁理士会会長奨励賞」を受賞

    キヤノン(株)ニュースリリース

    2012/11/27

    Type: Other

    More details Close

    キヤノンの「CMOSセンサーのシェーディング低減技術(特許第3467013号)」が、公益社団法人 発明協会が主催する「平成24年度関東地方発明表彰」において、「日本弁理士会会長奨励賞」を受賞しました。 <日本弁理士会会長奨励賞> 小泉 徹(キヤノン株式会社 デバイス開発本部 室長) 光地 哲伸(キヤノン株式会社 デバイス開発本部 室長) 米田 智也(元 キヤノン株式会社) 須川 成利(元 キヤノン株式会社)

  21. 1秒間に1000 万コマの超高速撮影が可能な高速度ビデオカメラの製品実用化に成功

    2012/09/03

    Type: Newspaper, magazine

  22. 半導体回路の描画装置 超音波モーターや光源用LED導入 価格1/3,設置面積1/10

    日経産業新聞

    2011/12/07

    Type: Newspaper, magazine

  23. イメージセンサー 毎秒2000万コマ撮影 東北大など速度20倍に

    2011/04/18

    Type: Newspaper, magazine

    More details Close

    東北大学の須川成利教授らと島津製作所は、1秒あたり最高2000万コマの速度で撮像ができるイメージセンサーを開発した。従来品に比べて20倍

  24. 2000万コマ/秒の超高速動画撮影が可能なCMOSイメージセンサの開発に成功 - 超高速現象の解明へ道 -

    東北大学工学研究科・工学部情報広報室

    2011/04/05

    Type: Other

    More details Close

    東北大学大学院 工学研究科 技術社会システム専攻の須川成利教授は、最高2000万コマ/秒の超高速動 画撮影が可能なCMOSイメージセンサ(※1)を (株)島津製作所(代表取締役社長 中本晃)と共同で開発しました。 この成果により、1マイクロ秒(百万分の1秒)以下の短時間で起こる物質の変形、破壊や放電などの超高速現象の 詳細な機構が解明され、新たな材料や加工技術の開発が促進されるものと期待されます。

  25. 「とんがった単体性能より,システムにとって最適な性能」,アナログの明日を考えるパネル討論会

    日経BP Tech-On EDA Online

    2008/10/27

    Type: Newspaper, magazine

  26. ここまで分かってきたランダム・テレグラフ・シグナル・ノイズ,東北大が講演

    日経BP Tech-On EDA Online

    2008/10/07

    Type: Newspaper, magazine

  27. 東北大グループ高性能センサー開発 デジカメ用暗くてもOK画像化20倍

    河北新報

    2008/10/02

    Type: Newspaper, magazine

  28. 日本TI,監視カメラ向けCCDを超える高画質を実現したワイドダイナミックレンジCMOSセンサを発表

    日経など多数

    2008/09/11

    Type: Other

  29. 監視カメラ向けワイドダイナミックレンジCMOSセンサの実用化に成功 感度とダイナミックレンジでCCDを超える高画質を実現

    東北大学

    2008/09/11

    Type: Other

  30. クルマの電子化が止まらない(第2回)

    日経BP Tech-On カーエレ

    2008/05/28

    Type: Newspaper, magazine

  31. 大手半導体製造装置メーカーで広がる全社的な知的財産意識の向上

    日経知財ナビ

    2007/07/05

    Type: Newspaper, magazine

  32. 東北地域における半導体等製造装置関連産業の競争力強化に向けて

    東北経済産業局

    2007/06/14

    Type: Other

  33. 知れば分かる、知財は頼れる味方です

    東北経済産業局 東北経済産業情報 東北21

    2007/01

    Type: Other

  34. 半導体産業を集積 東北経済局、企業などの連携推進

    河北新報

    2006/11/01

    Type: Newspaper, magazine

  35. 2006秋 CCD/CMOSイメージセンサ徹底検証 電子ジャーナル

    2006/09/26

    More details Close

    広ダイナミックレンジCMOSイメージセンサの開発と今後の展開に関する講演

  36. 撮像素子のこれまでとこれから(下)

    日経エレクトロニクス

    2006/07/03

    Type: Newspaper, magazine

  37. イメージセンサは大型化、高速化、広ダイナミック・レンジが進展

    日経TechOn

    2006/06/16

    Type: Newspaper, magazine

  38. 勝ちたいならブラックボックス技術を持て

    日経ものづくり

    2006/03

    Type: Newspaper, magazine

  39. ブラックボックス化と技術離れと教育

    日経TechOn

    2006/02/02

    Type: Newspaper, magazine

  40. ソニーから背面照射CMOSセンサ、東北大などは照度範囲200dB到達

    日経TechOn

    2005/11/30

    Type: Newspaper, magazine

  41. CCD/CMOSイメージセンサ2005徹底検証 電子ジャーナル

    2005/09/14

    More details Close

    広ダイナミックレンジCMOSイメージセンサの開発と今後の展開

  42. Micron、Samsungを筆頭に撮像素子に攻め入る海外勢

    日経エレクトロニクス

    2005/07/04

    Type: Newspaper, magazine

  43. ダイナミック・レンジが100dBのカラーCMOS型固体撮像素子、東北大学が開発

    日経TechOn

    2005/06/17

    Type: Newspaper, magazine

  44. イメージセンサ2005 日経エレクトロニクス

    2005/05/16

    More details Close

    これでようやく銀塩フィルムを置き換えられる、新CMOSセンサ

  45. 面白いMOTセミナー、面白くないMOTセミナー

    日経ビジネスイノベーター

    2005/02/24

    Type: Newspaper, magazine

Show all Show first 5