-
博士(工学)(横浜国立大学)
-
修士(工学)(横浜国立大学)
経歴 15
-
2023年7月 ~ 継続中兼任 熊本大学 半導体・デジタル研究教育機構 半導体部門 クロスアポイントメント教授
-
2023年4月 ~ 継続中兼任 東北大学未来科学技術共同研究センター ホリスティック三次元集積半導体開発とオープンイノベーション拠点の構築 プロジェクトリーダー
-
2019年4月 ~ 継続中兼任 東北大学 大学院医工学研究科 医工学専攻 准教授
-
2016年8月 ~ 継続中東北大学 大学院工学研究科 機械機能創成専攻 准教授
-
2018年3月 ~ 2023年3月兼任 東北大学未来科学技術共同研究センター 情報環境(Info-Sphere)調和型 自己組織化ヘテロ集積システムの開発 プロジェクトリーダー
-
2022年9月 ~ 2022年11月University of California, Los Angeles (UCLA), Visiting Faculty
-
2016年3月 ~ 2017年7月University of California, Los Angeles (UCLA) Visiting Faculty
-
2015年4月 ~ 2016年7月東北大学 大学院工学研究科 バイオロボティクス専攻 准教授
-
2010年4月 ~ 2015年3月東北大学未来科学技術共同研究センター 准教授
-
2010年11月 ~ 2010年12月Fraunhofer EMFT (Germany) Visiting Researcher
-
2010年10月 ~ 2010年11月Fraunhofer IZM (Germany) Visiting Researcher
-
2007年4月 ~ 2010年3月東北大学大学院工学研究科 助教
-
2004年8月 ~ 2007年3月東北大学大学院工学研究科 助手
-
2003年4月 ~ 2004年7月東北大学ベンチャービジネスラボラトリー 講師(中核的研究機関研究員)
-
2001年4月 ~ 2003年3月株式会社ピーアイ技術研究所 技術顧問
委員歴 26
-
エレクトロニクス実装学会誌 編集委員会 委員
2023年6月 ~ 継続中
-
IEEE CPMT Symposium Japan Committee Member
2022年12月 ~ 継続中
-
ADMETAPlus (Advanced Metallization Conference) Committee member
2022年12月 ~ 継続中
-
応用物理学会 シリコンテクノロジー分科会 多層配線システム技術委員会 委員
2022年4月 ~ 継続中
-
IEEE EPS (Electronics Packaging Society) Japan Committee Member
2021年1月 ~ 継続中
-
一般社団法人 電子実装工学研究所 IMSI (Institute for Advanced Micro-System Integration), 外部学界会員(2020年4月28日から現在) 外部学界会員
2020年4月 ~ 継続中
-
IMPACT (International Microsystems, Packaging, Assembly and Circuits Technology Conference) International Advisory Board (IAB) Members
2018年 ~ 継続中
-
The Annual International Conference on Manipulation, Automation and Robotics at Small Scales (MARSS) Program committee
2016年4月 ~ 継続中
-
“Interconnections” Session in IEEE Electronic Components and Technology Conference (ECTC) Program Committee
2013年7月 ~ 継続中
-
MDPIオープンアクセス誌Micromachines (IF: 2.523) Editorial Board Members
2020年4月 ~ 2023年7月
-
社団法人エレクトロニクス実装学会 常任理事
2022年6月 ~ 2023年5月
-
社団法人エレクトロニクス実装学会 エレクトロニクス実装学会誌 編集委員会 委員長
2022年6月 ~ 2023年5月
-
社団法人エレクトロニクス実装学会 エレクトロニクス実装学会誌 編集委員会 副委員長
2021年6月 ~ 2022年5月
-
社団法人エレクトロニクス実装学会 理事
2021年6月 ~ 2022年5月
-
MDPIオープンアクセス誌Electronics (IF: 2.412) Special Issue "Microelectronics Packaging and Flexible Hybrid Electronics" Guest Editor
2020年11月 ~ 2021年10月
-
日本学術振興会 産学協力研究委員会 接合界面創成技術第191 委員会 委員
2015年10月 ~ 2020年10月
-
電子情報通信学会, エレクトロニクスソサエティ和文論文誌C 実装特集号 論文編集委員
2011年 ~ 2020年
-
2019 IEEE International 3D System Integration Conference Program Chair
2018年2月 ~ 2019年10月
-
International IEEE Workshop on Low Temperature Bonding for 3D Integration Organizer
2007年11月 ~ 2019年5月
-
2017 MRS Fall Meeting Symposium PM4: Micro-Assembly Technologies -Fundamentals to Applications Lead organizer and Session Chair
2016年4月 ~ 2017年12月
-
International Conference on Solid State Devices and Materials (SSDM) Area 2: Interconnection Sub-Committee and Session Chair
2009年10月 ~ 2017年10月
-
IEEE TRANSACTIONS ON NANOTECHNOLOGY Associate Editor
2015年5月 ~ 2017年4月
-
平成26年度戦略的基盤技術高度化支援事業(サポイン)「研究課題名: 低消費電力半導体の貫通電極ウエハボイドレス超高速めっき装置技術の開発」 アドバイザー
2014年8月 ~ 2017年3月
-
電子情報通信学会, ソサイエティ論文誌編集委員会 リエゾン幹事
2012年6月 ~ 2014年6月
-
第27回 エレクトロニクス実装学会講演大会 実行副委員長 兼 プロモート委員
2012年7月 ~ 2013年3月
-
IEEE EPS Heterogeneous Integration Roadmap 2019 Edition, Chapter 22: Interconnects for 2D and 3D Architectures Key Contributor
2019年 ~
所属学協会 5
-
日本機械学会
-
IEEE (Institute of Electrical and Electronics Engineering)
-
応用物理学会
-
エレクトロニクス実装学会
-
高分子学会
研究キーワード 7
-
フレキシブルデバイス
-
自己組織化/誘導自己組織化
-
マイクロ・ナノ加工
-
半導体パッケージング
-
機能性高分子
-
人工感覚デバイス
-
三次元集積回路技術
研究分野 2
-
ものづくり技術(機械・電気電子・化学工学) / 電子デバイス、電子機器 /
-
ナノテク・材料 / 高分子材料 /
受賞 10
-
In appreciation of sustained contribution of the ECTC (10 Years Contribution Award)
2023年6月 IEEE Electronics Packaging Society (EPS)
-
田中貴金属 記念財団 貴金属に関わる研究助成 プラチナ賞 「ブロック高分子と金属ナノ粒子が創出する拡張誘導自己組織化配線に関する技術開発」
2017年3月 田中貴金属記念財団
-
第25 回エレクトロニクス実装学術講演大会 研究奨励賞
2012年3月 一般社団法人エレクトロニクス実装学会 狭ピッチ金属マイクロバンプを有するチップの自己組織化実装技術
-
The 60th IEEE Electronic Components and Technology Conference (ECTC) Outstanding Session Paper Award
2011年6月 IEEE CPMT (Components, Packaging and Manufacturing Technology) Society Self-Assembly Technology for Reconfigured Wafer-to-Wafer 3D Integration
-
ドイツ・イノベーション・アワード 「ゴットフリード・ワグネル賞2009」the 2nd Prize
2010年2月 ドイツ連邦教育研究省, フラウンホーファー研究機構, ドイツ学術交流会DAAD, ドイツ企業12社等の共催 Surface-Tension-Powered Chip Self-Assembly Technology for Three-Dimensional IC Fabrication
-
Material Research Society (MRS) Fall Meeting Invited Speaker Award
2008年12月 The committee of the Symposium E: Materials and Technologies for 3-D Integration Three-Dimensional Integration Technology Based on Self-Assembled Chip-to-Wafer Stacking
-
財団法人 青葉工学振興会 第13回研究奨励賞
2008年2月5日 財団法人 青葉工学振興会 研究業績名「自己組織化による次世代集積回路形成プロセスの創製」
-
2006 International Conference on Electronics Packaging / Outstanding Technical Paper Award
2007年4月18日 JIEP (Japan Institute of Electronics Packaging)
-
第83回日本化学会春季年会 学生講演賞
2003年3月 日本化学会
-
第15回エレクトロニクス実装学術講演大会 研究奨励賞
2002年3月 エレクトロニクス実装学会
論文 436
-
An Electronic Microsaccade Circuit with Charge-Balanced Stimulation and Flicker Vision Prevention for an Artificial Eyeball System 査読有り
Yaogan Liang, Kohei Nakamura, Bang Du, Shengwei Wang, Bunta Inoue, Yuta Aruga, Hisashi Kino, Takafumi Fukushima, Koji Kiyoyama, ndTetsu Tanaka
Electronics (MDPI) 12 (2836) 1-17 2023年6月
-
チップレットの概念と 3D-IC のラピッドプロトタイピング 招待有り 査読有り
福島誉史
エレクトロニクス実装学会誌(特集/3D・チップレット集積化技術動向) 26 (4) 333-340 2023年4月
DOI: 10.5104/jiep.26.333
-
Gapless Chip-in-Carrier Integration and Injectable Ag/AgCl-Epoxy Reference Electrode for Bilayer Lipid Membrane Sensor 招待有り 査読有り
Hiromichi Wakebe, Yuki Susumago, Takafumi Fukushima, Tetsu Tanaka
IEEJ TRANSACTIONS ON ELECTRICAL AND ELECTRONIC ENGINEERING 18 (3) 477-487 2023年3月
DOI: 10.1002/tee.23744
-
3D-IC/TSVの最新動向と自己組織化による三次元実装/ヘテロ集積 招待有り
福島誉史
化学工学会誌(小特集 / 次世代半導体の展望~原理と生産技術~ 87 (1) 33-36 2023年
-
Room-Temperature Direct Cu Semi-Additive Plating (SAP) Bonding for Chip-on-Wafer 3D Heterogenous Integration with μLED 査読有り
Yuki Susumago, Takafumi Fukushima
IEEE Electron Device Letters 2023年1月
-
脂質二分子膜センサのための埋植チップ上SU;マイクロチャネル直接形成法の開発 招待有り 査読有り
分部寛道, 福島誉史, 田中徹
電気学会論文誌;センサ;マイクロマシン部門誌 141 (10) 327-335 2022年10月
-
TSV形成の基礎と三次元実装の動向 招待有り 査読有り
福島誉史
エレクトロニクス実装学会誌(講座「三次元実装基礎講座」第1回) 25 (7) 700-708 2022年9月
DOI: 10.5104/jiep.25.700
-
Electrochemical characterization of ZnO-based transparent materials as recording electrodes for neural probes in optogenetics 査読有り
Yuki Miwa, Hisashi Kino, Takafumi Fukushima, Tetsu Tanaka
Journal of Vacuum Science & Technology B 40 (5) 052202-052202 2022年9月
出版者・発行元:American Vacuum SocietyDOI: 10.1116/6.0001836
ISSN:2166-2746
eISSN:2166-2754
-
Developing a Low-Temperature Flip-Chip Bonding Technology with In/Au Microbumps to Suppress the Thermal Load on Spintronics Devices 査読有り
Hisashi Kino, Takafumi Fukushima, Tetsu Tanaka
2022 IEEE International Interconnect Technology Conference (IITC) 2022年6月27日
出版者・発行元:IEEEDOI: 10.1109/iitc52079.2022.9881288
-
Room-Temperature Cu Direct Bonding Technology Enabling 3D Integration with Micro-LEDs 査読有り
Yuki Susumago, Shunsuke Arayama, Tadaaki Hoshi, Hisashi Kino, Tetsu Tanaka, Takafumi Fukushima
2022 IEEE 72nd Electronic Components and Technology Conference (ECTC) 2022年5月
出版者・発行元:IEEEDOI: 10.1109/ectc51906.2022.00225
-
Comprehensive Study on Advanced Chip on Wafer Hybrid Bonding with Copper/Polyimide Systems 査読有り
Toshiaki Shirasaka, Tadashi Okuda, Tomoaki Shibata, Satoshi Yoneda, Daisaku Matsukawa, Murugesan Mariappan, Mitsumasa Koyanagi, Takafumi Fukushima
2022 IEEE 72nd Electronic Components and Technology Conference (ECTC) 2022年5月
出版者・発行元:IEEEDOI: 10.1109/ectc51906.2022.00059
-
Tight-Pitched 10 μm-Width Solder Joints for c-2-c and c-2-w 3D-Integration in NCF Environment 査読有り
Murugesan Mariappan, Shizu Fukuzumi, Tomoaki Shibata, Hiroyuki Hashimoto, JiChel Bea, Mitsumasa Koyanagi, Takafumi Fukushima
2022 IEEE 72nd Electronic Components and Technology Conference (ECTC) 2022年5月
出版者・発行元:IEEEDOI: 10.1109/ectc51906.2022.00184
-
Cu-SiO<sub>2</sub> Hybrid Bonding Yield Enhancement Through Cu Grain Enlargement 査読有り
M. Murugesan, K. Mori, M. Sawa, E. Sone, M. Koyanagi, T. Fukushima
2022 IEEE 72nd Electronic Components and Technology Conference (ECTC) 2022年5月
出版者・発行元:IEEEDOI: 10.1109/ectc51906.2022.00115
-
Enhancement of carrier mobility in metal-oxide semiconductor field-effect transistors using negative thermal expansiongate electrodes
Hisashi Kino, Takafumi Fukushima, Tetsu Tanaka
Applied Physics Express 15 (11) 111004-1-111004-5 2022年4月
DOI: 10.35848/1882-0786/ac9d24
-
Design and Evaluation of Electronic-Microsaccade with Balanced Stimulation for Artificial Vision System 査読有り
Yaogan Liana, Zhengyang Qian, Bang Du, Jinming Ye, Kohei Nakamura, Shengwei Wang, Hisashi Kino, Takafumi Fukushima, Koji Kiyoyama, Tetsu Tanaka
2021 IEEE Biomedical Circuits and Systems Conference (BioCAS) 2021年10月7日
出版者・発行元:IEEEDOI: 10.1109/biocas49922.2021.9645034
-
Chip-to-Chip/Wafer Three-Dimensional Integration of 2.5 mm-sized Neuron and Memory Chips by Via-Last Approach 査読有り
M. Murugesan, H. Hashimoto, Jichel Bea, M. Koyanagi, T. Fukushima
2021 7th International Workshop on Low Temperature Bonding for 3D Integration (LTB-3D) 2021年10月5日
出版者・発行元:IEEEDOI: 10.1109/ltb-3d53950.2021.9598372
-
Design for 3-D Stacked Neural Network Circuit with Cyclic Analog Computing 査読有り
Koji Kiyoyama, Yoshihiko Horio, Takafumi Fukushima, Hiroyuki Hashimoto, Takemori Orima, Mitsumasa Koyanagi
2021 IEEE International 3D Systems Integration Conference (3DIC) 2021年10月
出版者・発行元:IEEEDOI: 10.1109/3dic52383.2021.9687608
ISSN:2164-0157
-
Cu-Cu Direct Bonding Through Highly Oriented Cu Grains for 3D-LSI Applications 査読有り
M. Murugesan, E. Sone, A. Simomura, M. Motoyoshi, M. Sawa, K. Fukuda, M. Koyanagi, T. Fukushima
2021 IEEE International 3D Systems Integration Conference (3DIC) 2021年10月
出版者・発行元:IEEEDOI: 10.1109/3dic52383.2021.9687604
-
Integration of Damage-less Probe Cards Using Nano-TSV Technology for Microbumped Wafer Testing 査読有り
Takafumi Fukushima, Shinichi Sakuyama, Masatomo Takahashi, Hiroyuki Hashimoto, Jichoel Bea, Theodorus Marcello, Hisashi Kino, Tetsu Tanaka, Mitsumasa Koyanagi, Murugesan Mariappan
2021 IEEE International 3D Systems Integration Conference (3DIC) 2021年10月
出版者・発行元:IEEEDOI: 10.1109/3dic52383.2021.9687601
-
Multi-level Metallization on an Elastomer PDMS for FOWLP-based Flexible Hybrid Electronics 査読有り
Zhe Wang, Ikumi Ozawa, Yuki Susumago, Tomo Odashima, Noriyuki Takahashi, Hisashi Kino, Tetsu Tanaka, Takafumi Fukushima
2021 IEEE International Interconnect Technology Conference, IITC 2021 2021年7月6日
DOI: 10.1109/IITC51362.2021.9537540
-
Development of Manganese Nitride Resistor with Near-Zero Temperature-Coefficient of Resistance to Achieve High-Thermal-Stability ICs 査読有り
Hisashi Kino, Takafumi Fukushima, Tetsu Tanaka
2021 IEEE International Interconnect Technology Conference, IITC 2021 2021年7月6日
DOI: 10.1109/IITC51362.2021.9537336
-
Chiplet-Based Advanced Packaging Technology from 3D/TSV to FOWLP/FHE 招待有り 査読有り
Takafumi Fukushima
2021 Symposium on VLSI Circuits 2021年6月13日
出版者・発行元:IEEEDOI: 10.23919/vlsicircuits52068.2021.9492335
-
High-thermal-stability resistor formed from manganese nitride compound that exhibits the saturation state of the mean free path 査読有り
Applied Physics Express 14, (2021), 091003 14 (8) 091003 2021年
DOI: 10.35848/1882-0786/ac18b0
ISSN:1882-0778
eISSN:1882-0786
-
On‐wafer thermomechanical characterization of a thin film polyimide formed by vapor deposition polymerization for through‐silicon via applications: Comparison to plasma‐enhanced chemical vapor deposition SiO 2 査読有り
Takafumi Fukushima, Mariappan Murugesan, Ji‐Cheol Bea, Hiroyuki Hashimoto, Hisashi Kino, Tetsu Tanaka, Mitsumasa Koyanagi
Journal of Polymer Science 58 (16) 2248-2258 2020年8月15日
出版者・発行元:WileyDOI: 10.1002/pol.20200094
ISSN:2642-4150
eISSN:2642-4169
-
Significant Die-Shift Reduction and mu LED Integration Based on Die-First Fan-Out Wafer-Level Packaging for Flexible Hybrid Electronics 査読有り
Takafumi Fukushima, Yuki Susumago, Zhengyang Qian, Chidai Shima, Bang Du, Noriyuki Takahashi, Shuta Nagata, Tomo Odashima, Hisashi Kino, Tetsu Tanaka
IEEE TRANSACTIONS ON COMPONENTS PACKAGING AND MANUFACTURING TECHNOLOGY 10 (8) 1419-1422 2020年8月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCDOI: 10.1109/TCPMT.2020.3009640
ISSN:2156-3950
eISSN:2156-3985
-
Direct fabrication of SU‐8 microchannel across an embedded chip for potentiometric bilayer lipid membrane sensor 査読有り
Hiromichi Wakebe, Takafumi Fukushima, Tetsu Tanaka
Electronics and Communications in Japan 105 (2) 2020年6月
出版者・発行元:WileyDOI: 10.1002/ecj.12343
ISSN:1942-9533
eISSN:1942-9541
-
Laue microdiffraction evaluation of bending stress in Au wiring formed on chip-embedded flexible hybrid electronics 査読有り
M. Murugesan, Y. Susumago, K. Sumitani, Y. Imai, S. Kimura, T. Fukushima
Japanese Journal of Applied Physics 60 (SB) SBBC02-SBBC02 2020年5月1日
出版者・発行元:IOP PublishingDOI: 10.35848/1347-4065/abdb81
ISSN:0021-4922
eISSN:1347-4065
-
High aspect ratio through-silicon-via formation by using low-cost electroless-Ni as barrier and seed layers for 3D-LSI integration and packaging applications 査読有り
M. Murugesan, K. Mori, J.C. Bea, M. Koyanagi, T. Fukushima
Japanese Journal of Applied Physics 59 (SG) SGGC02-SGGC02 2020年4月1日
出版者・発行元:IOP PublishingDOI: 10.35848/1347-4065/ab75b8
ISSN:0021-4922
eISSN:1347-4065
-
Development of Non-Volatile Tunnel-FET Memory as a Synaptic Device for Low-Power Spiking Neural Networks 査読有り
Hisashi Kino, Takafumi Fukushima, Tetsu Tanaka
2020 4th IEEE Electron Devices Technology & Manufacturing Conference (EDTM) 2020年4月
出版者・発行元:IEEEDOI: 10.1109/edtm47692.2020.9118027
-
Symmetric and asymmetric spike-timing-dependent plasticity function realized in a tunnel-field-effect-transistor-based charge-trapping memory 査読有り
Hisashi Kino, Takafumi Fukusima, Tetsu Tanaka
Japanese Journal of Applied Physics 59 (SG) 2020年4月
DOI: 10.35848/1347-4065/ab6867
ISSN:0021-4922
eISSN:1347-4065
-
Multichip thinning technology with temporary bonding for multichip-to-wafer 3D integration 査読有り
Sungho Lee, Rui Liang, Yuki Miwa, Hisashi Kino, Takafumi Fukushima, Tetsu Tanaka
JAPANESE JOURNAL OF APPLIED PHYSICS 59 (SB) 2020年2月
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
Tight-Pitch Au-Sn Interconnections for 3D-ICs Integration and Packaging Applications 査読有り
Murugesan Mariappan, Mitsumasa Koyanagi, Takafumi Fukushima
2020 IEEE 70TH ELECTRONIC COMPONENTS AND TECHNOLOGY CONFERENCE (ECTC 2020) 1448-1452 2020年
出版者・発行元:IEEE COMPUTER SOCDOI: 10.1109/ECTC32862.2020.00229
ISSN:0569-5503
eISSN:2377-5726
-
7-mu m-thick NCF technology with low-height solder microbump bonding for 3D integration 査読有り
Yuki Miwa, Kousei Kumahara, Sungho Lee, Rui Liang, Hisashi Kino, Takafumi Fukushima, Tetsu Tanaka
2020 IEEE 70TH ELECTRONIC COMPONENTS AND TECHNOLOGY CONFERENCE (ECTC 2020) 1453-1458 2020年
出版者・発行元:IEEE COMPUTER SOCDOI: 10.1109/ECTC32862.2020.00230
ISSN:0569-5503
eISSN:2377-5726
-
Low-temperature multichip-to-wafer 3D integration based on via-last TSV with OER-TEOS-CVD and microbump bonding without solder extrusion 査読有り
Kousei Kumahara, Rui Liang, Sungho Lee, Yuki Miwa, Mariappan Murugesan, Hisashi Kino, Takafumi Fukushima, Tetsu Tanaka
2020 IEEE 70TH ELECTRONIC COMPONENTS AND TECHNOLOGY CONFERENCE (ECTC 2020) 1199-1204 2020年
出版者・発行元:IEEE COMPUTER SOCDOI: 10.1109/ECTC32862.2020.00192
ISSN:0569-5503
eISSN:2377-5726
-
Impact of Electroless-Ni Seed Layer on Cu-Bottom-up Electroplating in High Aspect Ratio (>10) TSVs for 3D-IC Packaging Applications 査読有り
Murugesan Mariappan, Mitsumasa Koyanagi, Takafumi Fukushima
2020 IEEE 70TH ELECTRONIC COMPONENTS AND TECHNOLOGY CONFERENCE (ECTC 2020) 1736-1741 2020年
出版者・発行元:IEEE COMPUTER SOCDOI: 10.1109/ECTC32862.2020.00271
ISSN:0569-5503
eISSN:2377-5726
-
Multilithic 3D and Heterogeneous Integration Using Capillary Self-Assembly
Takafumi Fukushima
2020 IEEE ELECTRON DEVICES TECHNOLOGY AND MANUFACTURING CONFERENCE (EDTM 2020) 2020年
出版者・発行元:IEEE -
RDL-first Flexible FOWLP Technology with Dielets Embedded in Hydrogel 査読有り
Noriyuki Takahashi, Yuki Susumago, Sungho Lee, Yuki Miwa, Hisashi Kino, Tetsu Tanaka, Takafumi Fukushima
2020 IEEE 70TH ELECTRONIC COMPONENTS AND TECHNOLOGY CONFERENCE (ECTC 2020) 811-816 2020年
出版者・発行元:IEEE COMPUTER SOCDOI: 10.1109/ECTC32862.2020.00132
ISSN:0569-5503
eISSN:2377-5726
-
Generation of STDP With Non-Volatile Tunnel-FET Memory for Large-Scale and Low-Power Spiking Neural Networks 査読有り
Hisashi Kino, Takafumi Fukushima, Tetsu Tanaka
IEEE Journal of the Electron Devices Society 8 1266-1271 2020年
出版者・発行元:Institute of Electrical and Electronics Engineers (IEEE)DOI: 10.1109/jeds.2020.3025336
eISSN:2168-6734
-
PPG and SpO<inf>2</inf> Recording Circuit with Ambient Light Cancellation for Trans-Nail Pulse-Wave Monitoring System 査読有り
Ryosuke Yabuki, Tetsu Tanaka, Zhengyang Qian, Kar Mun Lee, Bang Du, Filipe Alves Satake, Tasuku Fukushima, Hisashi Kino, Takafumi Fukushima, Koji Kiyoyama
BioCAS 2019 - Biomedical Circuits and Systems Conference, Proceedings 2019年10月
DOI: 10.1109/BIOCAS.2019.8919027
-
Impacts of Deposition Temperature and Annealing Condition on Ozone-Ethylene Radical Generation-TEOS-CVD SiO<inf>2</inf> for Low-Temperature TSV Liner Formation 査読有り
Rui Liang, Sungho Lee, Yuki Miwa, Kousei Kumahara, Murugesan Mariappan, Hisashi Kino, Takafumi Fukushima, Tetsu Tanaka
IEEE 2019 International 3D Systems Integration Conference, 3DIC 2019 2019年10月
DOI: 10.1109/3DIC48104.2019.9058843
-
Development of a CDS Circuit for 3-D Stacked Neural Network Chip using CMOS Analog Signal Processing 査読有り
Koji Kiyoyama, Qian Zhengy, Hiroyuki Hashimoto, Hisashi Kino, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
IEEE 2019 International 3D Systems Integration Conference, 3DIC 2019 2019年10月
DOI: 10.1109/3DIC48104.2019.9058856
-
Characterization of Low-Height Solder Microbump Bonding for Fine-Pitch Inter-Chip Connection in 3DICs 査読有り
Yuki Miwa, Sungho Lee, Rui Liang, Kousei Kumahara, Hisashi Kino, Takafumi Fukushima, Tetsu Tanaka
IEEE 2019 International 3D Systems Integration Conference, 3DIC 2019 2019年10月
DOI: 10.1109/3DIC48104.2019.9058841
-
Development of 3D-IC Embedded Flexible Hybrid System 査読有り
Sungho Lee, Yuki Susumago, Zhengyang Qian, Noriyuki Takahashi, Hisashi Kino, Tetsu Tanaka, Takafumi Fukushima
IEEE 2019 International 3D Systems Integration Conference, 3DIC 2019 2019年10月
DOI: 10.1109/3DIC48104.2019.9058880
-
Investigation of the Underfill with Negative-Thermal-Expansion Material to Suppress Mechanical Stress in 3D Integration System 査読有り
Hisashi Kino, Takafumi Fukushima, Tetsu Tanaka
IEEE 2019 International 3D Systems Integration Conference, 3DIC 2019 2019年10月
DOI: 10.1109/3DIC48104.2019.9058838
-
X-ray computed tomography studies on directed self-assembly formed vertical nanocylinders containing metals for 3D LSI applications—characterization technique-dependent reliability issues 査読有り
M. Murugesan, A. Takeuchi, T. Fukushima, M. Koyanagi
Japanese Journal of Applied Physics 58 (SB) SBBC05-SBBC05 2019年4月1日
出版者・発行元:IOP PublishingISSN:0021-4922
eISSN:1347-4065
-
Noise Propagation through TSV in Mixed-Signal 3D-IC and Investigation of Liner Interface with Multi-Well Structured TSV 査読有り
Hisashi Kino, Takafumi Fukushima, Tetsu Tanaka
2019 Electron Devices Technology and Manufacturing Conference, EDTM 2019 222-224 2019年3月
DOI: 10.1109/EDTM.2019.8731161
-
High-Thermoresistant Temporary Bonding Technology for Multichip-to-Wafer 3-D Integration With Via-Last TSVs
Hideto Hashiguchi, Takafumi Fukushima, Mariappan Murugesan, Hisashi Kino, Tetsu Tanaka, Mitsumasa Koyanagi
IEEE Transactions on Components, Packaging and Manufacturing Technology 9 (1) 181-188 2019年1月
出版者・発行元:Institute of Electrical and Electronics Engineers (IEEE)DOI: 10.1109/tcpmt.2018.2871764
ISSN:2156-3950
eISSN:2156-3985
-
Mechanical Characterization of FOWLPBased Flexible Hybrid Electronics (FHE) for Biomedical Sensor Application 査読有り
Yuki Susumago, Achille Jacquemond, Noriyuki Takahashi, Hisashi Kino, Tetsu Tanaka, Takafumi Fukushima
2019 INTERNATIONAL CONFERENCE ON ELECTRONICS PACKAGING (ICEP 2019) 265-267 2019年
出版者・発行元:IEEE -
Development of Eccentric Spin Coating of Polymer Liner for Low-Temperature TSV Technology With Ultra-Fine Diameter 査読有り
Miao Xiong, Zhiming Chen, Yingtao Ding, Hisashi Kino, Takafumi Fukushima, Tetsu Tanaka
IEEE ELECTRON DEVICE LETTERS 40 (1) 95-98 2019年1月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCISSN:0741-3106
eISSN:1558-0563
-
Development of eccentric spin coating of polymer liner for low-temperature TSV technology with ultra-fine diameter 査読有り
Miao Xiong, Zhiming Chen, Yingtao Ding, Hisashi Kino, Takafumi Fukushima, Tetsu Tanaka
IEEE Electron Device Letters 40 (1) 95-98 2019年1月
ISSN:0741-3106
-
Investigation of TSV Liner Interface with Multiwell Structured TSV to Suppress Noise Propagation in Mixed-Signal 3D-IC 査読有り
Hisashi Kino, Takafumi Fukusima, Tetsu Tanaka
IEEE Journal of the Electron Devices Society 7 1225-1231 2019年
DOI: 10.1109/JEDS.2019.2936180
eISSN:2168-6734
-
Mechanical Characterization of FOWLPBased Flexible Hybrid Electronics (FHE) for Biomedical Sensor Application 査読有り
Yuki Susumago, Achille Jacquemond, Noriyuki Takahashi, Hisashi Kino, Tetsu Tanaka, Takafumi Fukushima
2019 INTERNATIONAL CONFERENCE ON ELECTRONICS PACKAGING (ICEP 2019) 265-267 2019年
出版者・発行元:IEEEDOI: 10.23919/ICEP.2019.8733416
-
Mechanical and Electrical Characterization of FOWLP-Based Flexible Hybrid Electronics (FHE) for Biomedical Sensor Application 査読有り
Yuki Susumago, Qian Zhengyang, Achille Jacquemond, Noriyuki Takahashi, Hisashi Kino, Tetsu Tanaka, Takafumi Fukushima
2019 IEEE 69TH ELECTRONIC COMPONENTS AND TECHNOLOGY CONFERENCE (ECTC) 2019-May 264-269 2019年
出版者・発行元:IEEEISSN:0569-5503
eISSN:2377-5726
-
Multichip thinning technology with temporary bonding for multichip-to-wafer 3D integration 査読有り
Sungho Lee, Rui Liang, Yuki Miwa, Hisashi Kino, Takafumi Fukushima, Tetsu Tanaka
PROCEEDINGS OF 2019 6TH INTERNATIONAL WORKSHOP ON LOW TEMPERATURE BONDING FOR 3D INTEGRATION (LTB-3D) 17-17 2019年
出版者・発行元:IEEEDOI: 10.23919/LTB-3D.2019.8735115
-
Continuous Peripheral Blood Pressure Measurement with ECG and PPG Signals at Fingertips 査読有り
Kar Mun Lee, Zhengyang Qian, Ryosuke Yabuki, Bang Du, Hisashi Kino, Takafumi Fukushima, Koji Kiyovama, Tetsu Tanaka
2018 IEEE Biomedical Circuits and Systems Conference, BioCAS 2018 - Proceedings 2018年12月20日
DOI: 10.1109/BIOCAS.2018.8584776
-
Process Integration for FlexTrate <sup>TM</sup> 査読有り
Tak Fukushima, Yuki Susumago, Hisashi Kino, Tetsu Tanaka, Arsalan Alam, Amir Hanna, Subramanian S. Iyer
2018 International Flexible Electronics Technology Conference, IFETC 2018 2018年12月19日
DOI: 10.1109/IFETC.2018.8584029
-
Flexible Hybrid Electronics Technology Using Die-First FOWLP for High-Performance and Scalable Heterogeneous System Integration 査読有り
Takafumi Fukushima, Arsalan Alam, Amir Hanna, Siva Chandra Jangam, Adeel Ahmad Bajwa, Subramanian S. Iyer
IEEE TRANSACTIONS ON COMPONENTS PACKAGING AND MANUFACTURING TECHNOLOGY 8 (10) 1738-1746 2018年10月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCDOI: 10.1109/TCPMT.2018.2871603
ISSN:2156-3950
eISSN:2156-3985
-
TSV liner dielectric technology with spin-on low-k polymer 査読有り
S. Lee, Y. Sugawara, M. Ito, H. Kino, T. Fukushima, T. Tanaka
2018 International Conference on Electronics Packaging and iMAPS All Asia Conference, ICEP-IAAC 2018 346-349 2018年6月6日
出版者・発行元:Institute of Electrical and Electronics Engineers Inc.DOI: 10.23919/ICEP.2018.8374320
-
Development of integrated photoplethysmographic recording circuit for trans-nail pulse-wave monitoring system 査読有り
Zhengyang Qian, Yoshiki Takezawa, Kenji Shimokawa, Hisashi Kino, Takafumi Fukushima, Koji Kiyoyama, Tetsu Tanaka
Japanese Journal of Applied Physics 57 (4) 2018年4月1日
出版者・発行元:Japan Society of Applied PhysicsISSN:1347-4065 0021-4922
-
Tunnel field-effect transistor charge-trapping memory with steep subthreshold slope and large memory window 査読有り
Hisashi Kino, Takafumi Fukushima, Tetsu Tanaka
Japanese Journal of Applied Physics 57 (4) 2018年4月1日
出版者・発行元:Japan Society of Applied PhysicsISSN:1347-4065 0021-4922
-
Capillary Self-Assembly Based Multichip-to-Wafer System Integration Technologies
Takafumi Fukushima
2018 INTERNATIONAL CONFERENCE ON MANIPULATION, AUTOMATION AND ROBOTICS AT SMALL SCALES (MARSS) 2018年
出版者・発行元:IEEE -
Self-Assembly Technologies for FlexTrate (TM) 査読有り
Takafumi Fukushima, Yuki Susumago, Hisashi Kino, Tetsu Tanaka, Arsalan Alam, Amir Hanna, Subramanian S. Iyer
2018 IEEE 68TH ELECTRONIC COMPONENTS AND TECHNOLOGY CONFERENCE (ECTC 2018) 1836-1841 2018年
出版者・発行元:IEEEISSN:0569-5503
eISSN:2377-5726
-
Study of Al-doped ZnO transparent stimulus electrode for fully implantable retinal prosthesis with three-dimensionally stacked retinal prosthesis chip 査読有り
Hisashi Kino, Takafumi Fukushima, Tetsu Tanaka
Sensors and Materials 30 (2) 225-234 2018年
出版者・発行元:M Y U Scientific Publishing DivisionISSN:0914-4935
-
Charge-Trap-Free Polymer-Liner Through-Silicon Vias for Reliability Improvement of 3D ICs 査読有り
Hisashi Kino, Sungho Lee, Yohei Sugawara, Takafumi Fukushima, Tetsu Tanaka
2018 IEEE INTERNATIONAL INTERCONNECT TECHNOLOGY CONFERENCE (IITC) 135-137 2018年
出版者・発行元:IEEEDOI: 10.1109/IITC.2018.8430390
ISSN:2380-632X
eISSN:2380-6338
-
Self-Assembly and Electrostatic Carrier Technology for Via-Last TSV Formation Using Transfer Stacking-Based Chip-to-Wafer 3-D Integration 査読有り
Hideto Hashiguchi, Takafumi Fukushima, Hiroyuki Hashimoto, Ji-Cheol Bea, Mariappan Murugesan, Hisashi Kino, Tetsu Tanaka, Mitsumasa Koyanagi
IEEE TRANSACTIONS ON ELECTRON DEVICES 64 (12) 5065-5072 2017年12月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCISSN:0018-9383
eISSN:1557-9646
-
Temporary Bonding and De-Bonding for Multichip-to-Wafer 3D Integration Process Using Spin-on Glass and Hydrogenated Amorphous Si 査読有り
M. Murugesan, T. Fukushima, M. Koyanagi
Proceedings - Electronic Components and Technology Conference 1237-1242 2017年8月1日
出版者・発行元:Institute of Electrical and Electronics Engineers Inc.ISSN:0569-5503
-
Remarkable Suppression of Local Stress in 3D IC by Manganese Nitride-Based Filler with Large Negative CTE 査読有り
Hisashi Kino, Takafumi Fukushima, Tetsu Tanaka
Proceedings - Electronic Components and Technology Conference 1523-1528 2017年8月1日
出版者・発行元:Institute of Electrical and Electronics Engineers Inc.ISSN:0569-5503
-
Drastic reduction of keep-out-zone in 3D-IC by local stress suppression with negative-CTE filler 査読有り
Hisashi Kino, Takafumi Fukushima, Tetsu Tanaka
2016 IEEE International 3D Systems Integration Conference, 3DIC 2016 2017年7月5日
出版者・発行元:Institute of Electrical and Electronics Engineers Inc.DOI: 10.1109/3DIC.2016.7970031
-
Minimized hysteresis and low parasitic capacitance TSV with PBO (polybenzoxazole) liner to achieve ultra-high-speed data transmission 査読有り
Hisashi Kino, Masataka Tashiro, Yohei Sugawara, Seiya Tanikawa, Takafumi Fukushima, Tetsu Tanaka
IITC 2017 - 2017 IEEE International Interconnect Technology Conference 2017年7月5日
出版者・発行元:Institute of Electrical and Electronics Engineers Inc.DOI: 10.1109/IITC-AMC.2017.7968936
-
3-D Sidewall Interconnect Formation Climbing Over Self-Assembled KGDs for Large-Area Heterogeneous Integration 査読有り
Takafumi Fukushima, Akihiro Noriki, Jichoel Bea, Mariappan Murugesan, Hisashi Kino, Koji Kiyoyama, Kang-Wook Lee, Tetsu Tanaka, Mitsumasa Koyanagi
IEEE TRANSACTIONS ON ELECTRON DEVICES 64 (7) 2912-2918 2017年7月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCISSN:0018-9383
eISSN:1557-9646
-
FlexTrateTM Characterization 査読有り
T. Takafumi, A. Alam, S. Pal, Z. Wan, S. C. Jangam, G. Ezhilarasu, A. Bajwa, S. S. Iyer
2017 FLEX 2017年6月
-
Directed Self-Assembly Patterning for 3D LSI 招待有り
Takafumi Fukushima, Mitsumasa Koyanagi
INC13 Workshop 2017年5月9日
-
Heterogeneous Integration with High-Performance and Scalable Substrates: Si-IF (Interconnect Fabric) and FlexTrateTM 査読有り
T. Fukushima, A. Bajwa, S. S. Iyer
Advancing Microelectronics Magazine 44 (2) 6-11 2017年5月
-
Development of Si neural probe with piezoresistive force sensor for minimally invasive and precise monitoring of insertion forces 査読有り
Takuya Harashima, Takumi Morikawa, Hisashi Kino, Takafumi Fukushima, Tetsu Tanaka
Japanese Journal of Applied Physics 56 (4) 04CM04-1-04CM04-4 2017年4月1日
出版者・発行元:Japan Society of Applied PhysicsISSN:1347-4065 0021-4922
-
Evaluation of insertion characteristics of less invasive Si optoneural probe with embedded optical fiber 査読有り
Takumi Morikawa, Takuya Harashima, Hisashi Kino, Takafumi Fukushima, Tetsu Tanaka
JAPANESE JOURNAL OF APPLIED PHYSICS 56 (4) 04CM08-1-04CM08-4 2017年4月
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
Improving the barrier ability of Ti in Cu through-silicon vias through vacuum annealing 査読有り
Murugesan Mariappan, JiChel Bea, Takafumi Fukushima, Eiji Ikenaga, Hiroshi Nohira, Mitsumasa Koyanagi
JAPANESE JOURNAL OF APPLIED PHYSICS 56 (4) 2017年4月
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
半導体微細加工技術を用いた高機能シリコン神経プローブの開発
原島 卓也, 森川 拓実, 木野 久志, 福島 誉史, 坂本 一寛, 片山 統裕, 虫明 元, 田中 徹
平成28年度文部科学省新学術領域研究 学術研究支援基盤形成 先端モデル動物支援プラットフォーム 成果発表会 プログラム・抄録 66-66 2017年2月6日
-
光ファイバ埋め込みシリコンオプト神経プローブの開発
森川 拓実, 原島 卓也, 木野 久志, 福島 誉史, 坂本 一寛, 片山 統裕, 虫明 元, 田中 徹
平成28年度文部科学省新学術領域研究 学術研究支援基盤形成 先端モデル動物支援プラットフォーム 成果発表会 プログラム・抄録集 68-68 2017年2月6日
-
経爪型集積化光電式容積脈波計測システムの開発 査読有り
銭 正ヨウ, 竹澤 好樹, 下川 賢士, 伊藤 圭汰, 西野 悟, 清山 浩司, 田中 徹
生体医工学 55 (5) 464-464 2017年
出版者・発行元:公益社団法人 日本生体医工学会DOI: 10.11239/jsmbe.55Annual.464
-
Feasibility Study on Ultrafine-Pitch Cu-Cu Bonding Using Directed Self-Assembly (DSA) 査読有り
M. Murugesan, T. Fukushima, K. Mori, H. Hashimoto, Jichel Bea, M. Koyanagi
2017 5TH INTERNATIONAL WORKSHOP ON LOW TEMPERATURE BONDING FOR 3D INTEGRATION (LTB-3D) 44-44 2017年
出版者・発行元:IEEEDOI: 10.23919/LTB-3D.2017.7947440
-
“FlexTrate®” - Scaled Heterogeneous Integration on Flexible Biocompatible Substrates Using FOWLP 査読有り
Tak Fukushima, Arsalan Alam, Saptadeep Pal, Zhe Wan, Siva Jangam, Goutham Ezhilarasu, Adeel Bajwa, Subramanian Iyer
ECTC 2017, The 67th Electronic Components and Technology Conference 649-654 2017年
出版者・発行元:NoneISSN:0569-5503
-
Heterogeneous Integration at Fine Pitch (2-10 μm) Using Thermal Compression Bonding 査読有り
Adeel Ahmad Bajwa, SivaChandra Jangam, Saptadeep Pal, Niteesh Marathe, Tingyu Bai, Takafumi Fukushima, Mark Goorsky, Subramanian Iyer
ECTC 2017, The 67th Electronic Components and Technology Conference 1276-1284 2017年
出版者・発行元:NoneISSN:0569-5503
-
A New Flexible Device Integration Technology Based on Fan-Out Wafer-Level Packaging 査読有り
T. Takafumi, A. Alam, S. Pal, Z. Wan, S. C. Jangam, G. Ezhilarasu, A. Bajwa, S. S. Iyer
Printed Electronics USA in IDTechEx show 2016年11月16日
-
FlexTrate™: High Interconnect Density Fan-Out Wafer Level Processing for Flexible Bio-compatible Electronics 招待有り
T. Fukushima, A. Alam, S. Pal, Z. Wan, S. C. Jangam, G. Ezhilarasu, A. Bajwa, S. S. Iyer
NBMC (Nano-Bio Manufactuuring Consortium) Workshop: Blood, Sweat and Tears III 2016年11月2日
-
Oxide-Oxide Thermocompression Direct Bonding Technologies with Capillary Self-Assembly for Multichip-to-Wafer Heterogeneous 3D System Integration 査読有り
Takafumi Fukushima, Hideto Hashiguchi, Hiroshi Yonekura, Hisashi Kino, Mariappan Murugesan, Ji-Chel Bea, Kang-Wook Lee, Tetsu Tanaka, Mitsumasa Koyanagi
Micromachines 7 (10) 184-1-184-18 2016年10月
出版者・発行元:MDPI AGDOI: 10.3390/mi7100184
ISSN:2072-666X
-
Development of Si Neural Probe with Piezoresistive Force Sensor for Insertion Force Monitoring 査読有り
Takuya Harashima, Takumi Morikawa, Hisashi Kino, Takafumi Fukushima, Tetsu Tanaka
JSAP 2016 International Conference on Solid State Devices and Materials 409-410 2016年9月29日
-
Insertion Characteristics Evaluation of Si Opto-Neural Probe with Embedded Optical fiber 査読有り
Takumi Morikawa, Takuya Harashima, Takafumi Fukushima, Hisashi Kino, Tetsu Tanaka
JSAP 2016 International Conference on Solid State Devices and Materials 389-390 2016年9月28日
-
Evaluation of Depth-dependent TSV-liner Interface States Using Multi-well Structure TSV and Charge Pumping Technique 査読有り
Yohei Sugawara, Hisashi Kino, Takahumi Fukushima, Kang-Wook Lee, Mitsumasa Koyanagi, Tetsu Tanaka
JSAP 2016 International Conference on Solid State Devices and Materials 467-468 2016年9月27日
-
半導体ウエハへの三次元配線加工:TSVと狭ピッチ電極を中心に 招待有り 査読有り
福島誉史, 李康旭, 田中徹, 小柳光正
表面技術 小特集: シリコンウエハの表面処理 67 (8) 414-420 2016年8月1日
DOI: 10.4139/sfj.67.414
-
Heterogeneous 3-D Integration Using Self-Assembly and Electrostatic Bonding 査読有り
Mitsumasa Koyanagi, Takafumi Fukushima, Kang-Wook Lee, Tetsu Tanaka
IEEE TRANSACTIONS ON COMPONENTS PACKAGING AND MANUFACTURING TECHNOLOGY 6 (7) 1002-1008 2016年7月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCDOI: 10.1109/TCPMT.2016.2575070
ISSN:2156-3950
eISSN:2156-3985
-
Influence of Cu-TSVs, CuSnand PI- Microbumps on Vertically Stacked 20 Micron-Thick DRAM Chips 査読有り
Murugesan Mariappan, JiChel Bea, Hiroyuki Hashmoto, KangWook Lee, Mitsumasa Koyanagi, Takafumi Fukushima, Seiya Tanikawa, Tetsu Tanaka
Proceedings of the ECTC 2016 50-55 2016年6月1日
-
Capacitance characteristics of low-k low-cost CVD grown polyimide liner for high-density Cu through-Si-via in three-dimensional LSI 査読有り
Mariappan Murugesan, Fukushima Takafumi, Bea Ji-Chel, Hashimoto Hiroyuki, Koyanagi Mitsumasa
Jpn. J. Appl. Phys. 55 (4S) 04EC12-04EC12 2016年4月1日
出版者・発行元:Institute of PhysicsISSN:0021-4922
eISSN:1347-4065
-
Evaluation of in-plane local stress distribution in stacked IC chip using dynamic random access memory cell array for highly reliable three-dimensional IC 査読有り
Seiya Tanikawa, Hisashi Kino, Takafumi Fukushima, Mitsumasa Koyanagi, Tetsu Tanaka
JAPANESE JOURNAL OF APPLIED PHYSICS 55 (4) 2016年4月
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
Study of Vacuum-Assisted Spin Coating of Polymer Liner for High-Aspect-Ratio Through-Silicon-Via Applications 査読有り
Yangyang Yan, Yingtao Ding, Takafumi Fukushima, Kang-Wook Lee, Mitsumasa Koyanagi
IEEE TRANSACTIONS ON COMPONENTS PACKAGING AND MANUFACTURING TECHNOLOGY 6 (4) 501-509 2016年4月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCDOI: 10.1109/TCPMT.2016.2514365
ISSN:2156-3950
eISSN:2156-3985
-
Effect of local stress induced by thermal expansion of underfill in three-dimensional stacked IC 査読有り
Hisashi Kino, Hideto Hashiguchi, Seiya Tanikawa, Youhei Sugawara, Shunsuke Ikegaya, Takafumi Fukushima, Mitsumasa Koyanagi, Tetsu Tanaka
JAPANESE JOURNAL OF APPLIED PHYSICS 55 (4) 04EC03-1-04EC03-4 2016年4月
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
Evaluation of In-plane Local Bending Stress Distribution with DRAM Cell Array for Highly Reliable 3D IC 査読有り
Seiya Tanikawa, Hisashi Kino, Takafumi Fukushima, Mitsumasa Koyanagi, Tetsu Tanaka
Japanese Journal of Applied Physics 55 (4S) 04EC07-1-04EC07-4 2016年3月17日
-
Impact of Chip-Edge Structures on Alignment Accuracies of Self-Assembled Dies for Microelectronic System Integration 査読有り
Yuka Ito, Takafumi Fukushima, Hisashi Kino, Kang-Wook Lee, Tetsu Tanaka, Mitsumasa Koyanagi
JOURNAL OF MICROELECTROMECHANICAL SYSTEMS 25 (1) 91-100 2016年2月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCDOI: 10.1109/JMEMS.2015.2480787
ISSN:1057-7157
eISSN:1941-0158
-
New multichip-to-wafer 3D integration technology using Self-Assembly and Cu nano-pillar hybrid bonding 査読有り
M. Koyanagi, K. W. Lee, T. Fukushima, T. Tanaka
2016 13th IEEE International Conference on Solid-State and Integrated Circuit Technology, ICSICT 2016 - Proceedings 338-341 2016年
出版者・発行元:Institute of Electrical and Electronics Engineers Inc.DOI: 10.1109/ICSICT.2016.7998914
-
Impact of Interconnections on Vertically Stacked 20 mu m-thick DRAM Chips 査読有り
M. Murugesan, T. Fukushima, J. C. Bea, H. Hashimoto, M. Koyanagi, S. Tanikawa, T. Tanaka
2016 IEEE 66TH ELECTRONIC COMPONENTS AND TECHNOLOGY CONFERENCE (ECTC) 50-55 2016年
出版者・発行元:IEEE COMPUTER SOCISSN:0569-5503
-
Non-Conductive Film Underfill for 3D Integration of 20 mu m-Thick LSI Wafers with Fine Cu-TSVs 査読有り
M. Murugesan, J. C. Bea, M. Koyanagi, Y. Ito, T. Fukushima, T. Tanaka
2016 27TH ANNUAL SEMI ADVANCED SEMICONDUCTOR MANUFACTURING CONFERENCE (ASMC) 466-471 2016年
出版者・発行元:IEEEISSN:1078-8743
-
Back-Via 3D Integration Technologies by Temporary Bonding with Thermoplastic Adhesives and Visible-Laser Debonding 査読有り
M. Murugesan, T. Fukushima, J. C. Bea, H. Hashimoto, S. H. Lee, M. Motoyoshi, T. Tanaka, K. W. Lee, M. Koyanagi
2016 International Conference on Electronics Packaging (ICEP) 265-269 2016年
出版者・発行元:IEEE -
Novel Hybrid Bonding Technology Using Ultra-High Density Cu Nano-Pillar for Exascale 2.5D/3D Integration 査読有り
Kangwook Lee, Jichel Bea, Takafumi Fukushima, Suresh Ramalingam, Xin Wu, Tetsu Tanaka, Mitsumasa Koyanagi
IEEE ELECTRON DEVICE LETTERS 37 (1) 81-83 2016年1月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCISSN:0741-3106
eISSN:1558-0563
-
DRAMリテンション測定を用いた3DIC局所曲げ応力の影響評価
谷川星野, 木野久志, 福島誉史, 田中徹
応用物理学会春季学術講演会講演予稿集(CD-ROM) 63rd 11-130 2016年
-
応力センサ集積シリコン神経プローブの開発
原島卓也, 谷卓治, 鈴木雄策, 森川拓実, 木野久志, 福島誉史, 田中徹, 田中徹
応用物理学会春季学術講演会講演予稿集(CD-ROM) 63rd 10-311-10-311 2016年
-
脳深部刺入可能なフレキシブルケーブル一体化シリコン神経プローブの開発
森川拓実, 谷卓治, 原島卓也, 鈴木雄策, 木野久志, 福島誉史, 田中徹, 田中徹
応用物理学会春季学術講演会講演予稿集(CD-ROM) 63rd 2016年
-
Capillary Self-Assebly for 3D Heterogeneous System Integration and Packaging 招待有り
Yuka Ito, Takafumi Fukushima, Kang-Wook Lee, Tetsu Tanaka, Mitsumasa Koyanagi
MRS ADVANCES 1 (34) 2355-2366 2016年
出版者・発行元:CAMBRIDGE UNIV PRESSDOI: 10.1557/adv.2016.528
ISSN:2059-8521
-
Impact of local stress in 3D stacking process on memory retention characteristics in thinned DRAM chip 査読有り
S. Tanikawa, H. Kino, T. Fukushima, K-W. Lee, M. Koyanagi, T. Tanaka
2016 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM (IRPS) 6B-1-1-6B-1-6 2016年
出版者・発行元:IEEEDOI: 10.1109/IRPS.2016.7574561
ISSN:1541-7026
-
Transfer and Non-Transfer 3D Stacking Technologies Based on Multichip-to-Wafer Self-Assembly and Direct Bonding 査読有り
T. Fukushima, H. Hashiguchi, H. Kino, T. Tanaka, M. Murugesan, J. Bea, H. Hashimoto, K. Lee, M. Koyanagi
2016 IEEE 66TH ELECTRONIC COMPONENTS AND TECHNOLOGY CONFERENCE (ECTC) 289-294 2016年
出版者・発行元:IEEE COMPUTER SOCISSN:0569-5503
-
Novel W2W/C2W hybrid bonding technology with high stacking yield using ultra-fine size, ultra-high density Cu nano-pillar (CNP) for exascale 2.5D/3D integration 査読有り
K. W. Lee, C. Nagai, J. C. Bea, T. Fukushima, T. Tanaka, M. Koyanagi, R. Suresh, X. Wu
2016 IEEE 66TH ELECTRONIC COMPONENTS AND TECHNOLOGY CONFERENCE (ECTC) 350-355 2016年
出版者・発行元:IEEE COMPUTER SOCDOI: 10.1109/ECTC.2016.10
ISSN:0569-5503
-
Accuracy Enhancement of Sub-mm Chip Self-Alignment Using Liquid Surface Tension for Hybrid Integration 査読有り
Shinya Kikuta, Satohiko Hoshino, Yoshiki Yamanishi, Takafumi Fukushima, Kangwook Lee, Mitsumasa Koyanagi
2016 CONFERENCE ON LASERS AND ELECTRO-OPTICS (CLEO) 350-355 2016年
出版者・発行元:IEEEISSN:2160-9020
-
Highly Sensitive Pressure Sensor with Silicon-On-Nothing (SON) MOSFET for Sensor Integrated Heterogeneous System 査読有り
Hisashi Kino, Takafumi Fukushima, Tetsu Tanaka
2016 IEEE SILICON NANOELECTRONICS WORKSHOP (SNW) 186-187 2016年
出版者・発行元:IEEE -
マルチウェル構造TSVを用いたTSV側壁Si-SiO<sub>2</sub>界面準位の評価
菅原陽平, 木野久志, 福島誉史, LEE K.-W., 小柳光正, 田中徹
応用物理学会秋季学術講演会講演予稿集(CD-ROM) 77th 12-340-12-340 2016年
-
網膜下刺激人工網膜におけるAZO透明刺激電極の基礎評価
下川賢士, 後藤大輝, 木野久志, 福島誉史, 田中徹, 田中徹
応用物理学会秋季学術講演会講演予稿集(CD-ROM) 77th 11-408-11-408 2016年
-
光ファイバ埋め込みシリコンオプト神経プローブの刺入特性評価
森川拓実, 原島卓也, 木野久志, 福島誉史, 田中徹, 田中徹
応用物理学会秋季学術講演会講演予稿集(CD-ROM) 77th 11-416-11-416 2016年
-
Self-Assembly Based Multichip-to-Wafer Bonding Technologies for 3D/Hetero Integration 査読有り
T. Fukushima, K. W. Lee, T. Tanaka, M. Koyanagi
SEMICONDUCTOR WAFER BONDING: SCIENCE, TECHNOLOGY AND APPLICATIONS 14 75 (9) 285-290 2016年
出版者・発行元:ELECTROCHEMICAL SOC INCISSN:1938-5862
-
Self-Assembly Based Multichip-to-Wafer Bonding Technologies for 3D/Hetero Integration 招待有り
T. Fukushima, K. W. Lee, T. Tanaka, M. Koyanagi
SEMICONDUCTOR WAFER BONDING: SCIENCE, TECHNOLOGY AND APPLICATIONS 14 75 (9) 285-290 2016年
出版者・発行元:ELECTROCHEMICAL SOC INCISSN:1938-5862
-
三次元集積用テンポラリー接着剤の特性とウエハエッジの影響 査読有り
福島誉史, 福島誉史, MURUGESAN Mariappan, BEA Jicheol, LEE Sanghoon, LEE Kang-Wook, 田中徹, 田中徹, 小柳光正
電子情報通信学会論文誌 C(Web) J99-C (11) 493-500 2016年
ISSN:1881-0217
-
New Concept of TSV Formation Methodology Using Directed Self-Assembly (DSA) 査読有り
Takafumi Fukushima, Mariappan Murugesan, Shin Ohsaki, Hiroyuki Hashimoto, Jichoel Bea, Kang-Wook Lee, Tetsu Tanaka, Mitsumasa Koyanagi
2016 IEEE INTERNATIONAL 3D SYSTEMS INTEGRATION CONFERENCE (3DIC) 2016年
出版者・発行元:IEEEDOI: 10.1109/3DIC.2016.7970022
ISSN:2164-0157
-
Nano-scale Cu direct bonding using ultra-high density Cu nano-pillar (CNP) for high yield exascale 2.5/3D integration applications 査読有り
Kangwook. Lee, Ai Nakamura, Jicheol Bea, Takafumi Fukushima, Suresh Ramalingam, Xin Wu, Tanaka Tanaka, Mitsumasa Koyanagi
2016 IEEE INTERNATIONAL 3D SYSTEMS INTEGRATION CONFERENCE (3DIC) 2016年
出版者・発行元:IEEEDOI: 10.1109/3DIC.2016.7970027
ISSN:2164-0157
-
Improving the Integrity of Ti Barrier Layer in Cu-TSVs Through Self-Formed TiSix for Via-Last TSV Technology 査読有り
Murugesan Mariappan, JiChel Bea, Takafumi Fukushima, Makoto Motoyoshi, Tetsu Tanaka, Mitsumasa Koyanagi
2016 IEEE INTERNATIONAL 3D SYSTEMS INTEGRATION CONFERENCE (3DIC) 2016年
出版者・発行元:IEEEDOI: 10.1109/3DIC.2016.7970017
ISSN:2164-0157
-
電気/薬液/光による高度脳操作を可能にするシリコン神経プローブの開発
原島 卓也, 谷 卓治, 鈴木 雄策, 森川 拓実, 木野 久志, 福島 誉史, 田中 徹
平成27年度 包括型脳科学研究推進支援ネットワーク冬のシンポジウム 60-60 2015年12月17日
-
柔軟性を有するフレキシブルケーブル一体化シリコン神経プローブの開発-多機能集積化脳神経プローブシステムの開発1-
鈴木 雄策, 谷 卓治, 原島 卓也, 森川拓実, 木野 久志, 福島 誉史, 田中 徹
平成27年度 包括型脳科学研究推進支援ネットワーク 冬のシンポジウム 60-60 2015年12月17日
-
Evaluation of 2-D Local Stress Distribution in Stacked IC Chip Using Stress-induced Retention Time Modulation od DRAM Cell Array 査読有り
Seiya Tanikawa, Hideto Hashiguchi, Yohei Sugawara, Hisashi Kino, Takafumi Fukushima, Mitsumasa Koyanagi, Tetsu Tanaka
Extended Abstracts of the 2015 International Conference on Solid State Devices and Materials 790-791 2015年9月30日
-
Electroless Nickel Barrier/Seed Layer Deposition on Dielectric Liners for Advanced Cu-TSV Applications 査読有り
Takafumi Fukushima, Kazuko Taniguchi, Shigeru Watariguchi, Mariappan Murugesan, Chisato Nagai, Ai Nakamura, Hiroyuki Hashimoto, Ji-Chel Bea, Tetsu Tanaka, Mitsumasa Koyanagi, Kang-Wook Lee
Extended Abstracts of the 2015 International Conference on Solid State Devices and Materials 70-71 2015年9月29日
-
Capacitance Characteristics of Low-k Low-Cost CVD Grown Polyimide Liner for High-Density Cu-TSVs in 3D-LSI 査読有り
Murugesan Mariappan, Ji-Chel Bea, Takafumi Fukushima, Hiroyuki Hashimoto, Kang-Wook Lee, Mitsumasa Koyanagi
Extended Abstracts of the 2015 International Conference on Solid State Devices and Materials 64-65 2015年9月29日
-
Local Stress Effect due to Operation-Heating-Induced Adhesive Expansion on Transistor Performances in 3D IC 査読有り
Hisashi Kino, Hideto hashiguchi, Seiya Tanikawa, Youhei Sugawara, Shunsuke Ikegaya, Takafumi Fukushima, Mitsumasa Koyanagi, Tetsu Tanaka
Extended Abstracts of the 2015 International Conference on Solid State Devices and Materials 56-57 2015年9月27日
-
高分子材料を用いた三次元集積技術 招待有り
福島誉史
第41回 よこはま高度実装技術コンソーシアム(YJC)実装技術セミナー 2015年6月11日
-
Die-to-Wafer Self-Assembly by Droplet Surface Tension for 3D LSI & Advanced System Integration 招待有り
Takafumi Fukushima
Proc. EMN Meeting on Droplets 2015年5月10日
-
Vertical-cavity surface-emitting laser chip bonding by surface-tension-driven self-assembly for optoelectronic heterogeneous integration 査読有り
Yuka Ito, Takafumi Fukushima, Hisashi Kino, Kang-Wook Lee, Koji Choki, Tetsu Tanaka, Mitsumasa Koyanagi
JAPANESE JOURNAL OF APPLIED PHYSICS 54 (3) 030206-1-030206-6 2015年3月
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
Applications of three-dimensional LSI 査読有り
Mitsumasa Koyanagi, Takafumi Fukushima, Kang-Wook Lee, Tetsu Tanaka
MRS BULLETIN 40 (3) 242-247 2015年3月
出版者・発行元:CAMBRIDGE UNIV PRESSDOI: 10.1557/mrs.2015.33
ISSN:0883-7694
eISSN:1938-1425
-
Applications of three-dimensional LSI 招待有り 査読有り
Mitsumasa Koyanagi, Takafumi Fukushima, Kang-Wook Lee, Tetsu Tanaka
MRS BULLETIN 40 (3) 242-247 2015年3月
出版者・発行元:CAMBRIDGE UNIV PRESSDOI: 10.1557/mrs.2015.33
ISSN:0883-7694
eISSN:1938-1425
-
Advanced 2.5D/3D Hetero-Integration Technologies at GINTI, Tohoku University 査読有り
K. W. Lee, J. C. Bea, M. Koyanagi, T. Fukushima, T. Tanaka
2015 INTERNATIONAL 3D SYSTEMS INTEGRATION CONFERENCE (3DIC 2015) 2015年
出版者・発行元:IEEEISSN:2164-0157
-
Impacts of 3-D integration processes on device reliabilities in thinned DRAM chip for 3-D DRAM 査読有り
Kang-Wook Lee, Ji-Chel Bea, Mariappan Murugesan, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
2015 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM (IRPS) 2015年
出版者・発行元:IEEEISSN:1541-7026
-
Yield Enhancement and Mitigating the Si-Chipping and Wafer Cracking in Ultra-Thin 20 mu m-Thick 8-and 12-Inch LSI Wafer 査読有り
M. Murugesan, T. Fukushima, J. C. Bea, K. W. Lee, M. Koyanagi
2015 26TH ANNUAL SEMI ADVANCED SEMICONDUCTOR MANUFACTURING CONFERENCE (ASMC) 435-439 2015年
出版者・発行元:IEEEISSN:1078-8743
-
高分子材料を用いた三次元集積技術 I:セルフアセンブリによるNCF被覆ダイ・オン・ウェーハ
伊藤有香, 伊藤有香, 福島誉史, MURUGESAN Mariappan, 裴志哲, 李康旭, 田中徹, 田中徹, 小柳光正
エレクトロニクス実装学会講演大会講演論文集(CD-ROM) 29th 408-409 2015年
ISSN:1880-4616
-
高分子材料を用いた三次元集積技術 II:ビアラストTSV形成のための高耐熱テンポラリー無機接着層
橋口日出登, 福島誉史, 裴志哲, MURUGESAN Mariappan, 李康旭, 田中徹, 小柳光正
エレクトロニクス実装学会講演大会講演論文集(CD-ROM) 29th 410-412 2015年
ISSN:1880-4616
-
高分子材料を用いた三次元集積技術 III:気相堆積ポリイミドTSVライナーの形成と特性評価
福島誉史, MURUGESAN Mariappan, 裴志哲, 橋本宏之, 佐藤優, 李康旭, 小柳光正
エレクトロニクス実装学会講演大会講演論文集(CD-ROM) 29th 413-415 2015年
ISSN:1880-4616
-
Novel reconfigured wafer-to-wafer (W2W) hybrid bonding technology using ultra-high density nano-Cu filaments for exascale 2.5D/3D integration
K-W Lee, C. Nagai, J-C Bea, T. Fukushima, R. Suresh, X. Wu, T. Tanaka, M. Koyanagi
2015 IEEE INTERNATIONAL ELECTRON DEVICES MEETING (IEDM) 260-263 2015年
出版者・発行元:IEEE -
Improved C-V, I-V Characteristics for Co-Polymerized Organic Liner in the Through-Silicon-Via for High Frequency Applications by Post Heat Treatment 査読有り
M. Murugesan, T. Fukushima, J. C. Bea, H. Hashimoto, Y. Sato, K. W. Lee, M. Koyanagi
2015 IEEE 65TH ELECTRONIC COMPONENTS AND TECHNOLOGY CONFERENCE (ECTC) 73-77 2015年
出版者・発行元:IEEEDOI: 10.1109/ECTC.2015.7159574
ISSN:0569-5503
-
Development of Highly-Reliable Microbump Bonding Technology using Self-Assembly of NCF-Covered KGDs and Multi -Layer 3D Stacking Challenges 査読有り
Yuka Ito, Mariappan Murugesan, Hisashi Kino, Takafumi Fukushima, Kang-Wook Lee, Koji Choki, Tetsu Tanaka, Mitsumasa Koyanagi
2015 IEEE 65TH ELECTRONIC COMPONENTS AND TECHNOLOGY CONFERENCE (ECTC) 336-341 2015年
出版者・発行元:IEEEDOI: 10.1109/ECTC.2015.7159614
ISSN:0569-5503
-
Challenges of High-Robustness Self-Assembly with Cu/Sn-Ag Microbump Bonding for Die-to-Wafer 3D Integration 査読有り
Taku Suzuki, Kazushi Asami, Yasuhiro Kitamura, Takafumi Fukushima, Chisato Nagai, Jichoel Bea, Yutaka Sato, Mariappan Murugesan, Kang-wook Lee, Mitsumasa Koyanagi
2015 IEEE 65TH ELECTRONIC COMPONENTS AND TECHNOLOGY CONFERENCE (ECTC) 342-347 2015年
出版者・発行元:IEEEDOI: 10.1109/ECTC.2015.7159615
ISSN:0569-5503
eISSN:2377-5726
-
Impact of Deep-Via Plasma Etching Process on Transistor Performance in 3D-IC with Via-Last Backside TSV 査読有り
Yohei Sugawara, Hideto Hashiguchi, Seiya Tanikawa, Hisashi Kino, Kang-Wook Lee, Takafumi Fukusima, Mitsumasa Koyanagi, Tetsu Tanaka
2015 IEEE 65TH ELECTRONIC COMPONENTS AND TECHNOLOGY CONFERENCE (ECTC) 822-827 2015年
出版者・発行元:IEEEDOI: 10.1109/ECTC.2015.7159687
ISSN:0569-5503
-
Characterization of 3D Stacked High Resistivity Si Interposers with Polymer TSV liners for 3D RF Module
Kwang-Seong Choi, Haksun Lee, Hyun-Cheol Bae, Yong-Sung Eom, Kangwook Lee, Takafumi Fukushima, Mitsumasa Koyanagi, Jin Ho Lee
2015 IEEE 65TH ELECTRONIC COMPONENTS AND TECHNOLOGY CONFERENCE (ECTC) 928-933 2015年
出版者・発行元:IEEEDOI: 10.1109/ECTC.2015.7159705
ISSN:0569-5503
-
Plasma Assisted Multichip-to-Wafer Direct Bonding Technology for Self-Assembly Based 3D Integration 査読有り
H. Hashiguchi, H. Yonekura, T. Fukushima, M. Murugesan, H. Kino, K. -W. Lee, T. Tanaka, M. Koyanagi
2015 IEEE 65TH ELECTRONIC COMPONENTS AND TECHNOLOGY CONFERENCE (ECTC) 1458-1463 2015年
出版者・発行元:IEEEDOI: 10.1109/ECTC.2015.7159789
ISSN:0569-5503
-
Novel Local Stress Evaluation Method in 3D IC Using DRAM Cell Array with Planar MOS Capacitors 査読有り
Seiya Tanikawa, Hisashi Kino, Takafumi Fukushima, Mitsumasa Koyanagi, Tetsu Tanaka
2015 INTERNATIONAL 3D SYSTEMS INTEGRATION CONFERENCE (3DIC 2015) 59-61 2015年
出版者・発行元:IEEEDOI: 10.1109/3DIC.2015.7334557
ISSN:2164-0157
-
Reconfigured multichip-on-wafer (mCoW) Cu/oxide hybrid bonding technology for ultra-high density 3D integration using recessed oxide, thin glue adhesive, and thin metal capping layers 査読有り
K. W. Lee, C. Nagai, A. Nakamura, H. Aizawa, J. C. Bea, M. Koyanagi, H. Hashiguchi, T. Fukushima, T. Tanaka
2015 INTERNATIONAL 3D SYSTEMS INTEGRATION CONFERENCE (3DIC 2015) 31-34 2015年
出版者・発行元:IEEEDOI: 10.1109/3DIC.2015.7334471
ISSN:2164-0157
-
Consideration of Micro bump Layout for Reduction of Local Bending Stress Due to CTE Mismatch in 3D IC 査読有り
Hisashi Kino, Hideto Hashiguchi, Seiya Tanikawa, Yohei Sugawara, Shunsuke Ikegaya, Takafumi Fukushima, Mitsumasa Koyanagi, Tetsu Tanaka
2015 INTERNATIONAL 3D SYSTEMS INTEGRATION CONFERENCE (3DIC 2015) 260-263 2015年
出版者・発行元:IEEEDOI: 10.1109/3DIC.2015.7334596
ISSN:2164-0157
-
Transfer and Non-Transfer Stacking Technologies Based on Chip-to-Wafer Self-Asembly for High-Throughput and High-Precision Alignment and Microbump Bonding 査読有り
Takafumi Fukushima, Taku Suzuki, Hideto Hashiguchi, Chisato Nagai, Jichoel Bea, Hiroyuki Hashimoto, Mariappan Murugesan, Kang-Wook Lee, Tetsu Tanaka, Kazushi Asami, Yasuhiro Kitamura, Mitsumasa Koyanagi
2015 INTERNATIONAL 3D SYSTEMS INTEGRATION CONFERENCE (3DIC 2015) 134-137 2015年
出版者・発行元:IEEEDOI: 10.1109/3DIC.2015.7334578
ISSN:2164-0157
-
Vacuum-Assisted-Spin-Coating of Polyimide Liner for High-Aspect-Ratio TSVs Applications 査読有り
Yangyang Yan, Yingtao Ding, Qianwen Chen, Kangwook Lee, Takafumi Fukushima, Mitsu Koyanagi
2015 INTERNATIONAL 3D SYSTEMS INTEGRATION CONFERENCE (3DIC 2015) 84-88 2015年
出版者・発行元:IEEEDOI: 10.1109/3DIC.2015.7334568
ISSN:2164-0157
-
Mitigating Thermo Mechanical Stress in High Density 3D-LSI Through Dielectric Liners in Cu-Through Silicon Via _ mu-RS and mu-XRD Study 査読有り
M. Murugesan, J. C. Bea, H. Hashimoto, K. W. Lee, M. Koyanagi, T. Fukushima, T. Tanaka
2015 INTERNATIONAL 3D SYSTEMS INTEGRATION CONFERENCE (3DIC 2015) 179-183 2015年
出版者・発行元:IEEEDOI: 10.1109/3DIC.2015.7334579
ISSN:2164-0157
-
回路動作の発熱によって三次元集積回路内に生成される局所応力の影響に関する研究
木野久志, 橋口日出登, 谷川星野, 菅原陽平, 池ヶ谷俊介, 福島誉史, 福島誉史, 小柳光正, 田中徹, 田中徹
マイクロエレクトロニクスシンポジウム論文集 25th 355-358 2015年
ISSN:2434-396X
-
DRAMセルアレイの電荷保持特性を用いた3DICにおける局所曲げ応力の影響評価
谷川星野, 木野久志, 福島誉史, 小柳光正, 田中徹
応用物理学会秋季学術講演会講演予稿集(CD-ROM) 76th 12-124 2015年
-
3D IC用ビアラスト/バックサイドビアプロセスにおける高アスペクト比ビア形成がトランジスタに与える影響評価
菅原陽平, 木野久志, 福島誉史, LEE K.-W., 小柳光正, 田中徹
応用物理学会秋季学術講演会講演予稿集(CD-ROM) 76th 12-123-12-123 2015年
-
チップ集積・フレキシブルケーブル一体化シリコン神経プローブの開発(集積化脳神経プローブシステムの開発 1)
鈴木雄策, 谷卓治, 原島卓也, 木野久志, 福島誉史, 田中徹, 田中徹
応用物理学会秋季学術講演会講演予稿集(CD-ROM) 76th 11-379 2015年
-
大脳皮質層別光刺激のための反射ミラー集積シリコン神経プローブの開発
原島卓也, 谷卓治, 鈴木雄策, 森川拓実, 木野久志, 福島誉史, 田中徹, 田中徹
応用物理学会秋季学術講演会講演予稿集(CD-ROM) 76th p11-381-p11-381 2015年
-
気相堆積重合によるポリイミド薄膜の形成とシリコン貫通配線への応用
福島誉史, 福島誉史, MURUGESAN Mariappan, BEA Jichoel, LEE Kangwook, 小柳光正
高分子学会予稿集(CD-ROM) 64 (2) 2015年
-
三次元積層型LSI作製のための高耐熱テンポラリー接着剤技術
福島誉史, 福島誉史, MURUGESAN Mariappan, BEA Jichoel, LEE Kangwook, 小柳光正
高分子学会予稿集(CD-ROM) 64 (2) 2015年
-
シリコン貫通配線(TSV)と三次元集積化技術の研究開発動向 招待有り
福島誉史, LEE Kang-Wook, 田中徹, 小柳光正
センサ・マイクロマシンと応用システムシンポジウム(CD-ROM) 32nd 28pm3-D-1-1-28pm3-D-1-6 2015年
-
三次元集積化技術におけるチップ薄化に伴う局所曲げ応力のDRAMセルアレイを用いた評価
谷川星野, 木野久志, 福島誉史, 小柳光正, 田中徹, 田中徹
応用物理学会東北支部学術講演会講演予稿集(Web) 70th 2015年
-
Novel reconfigured wafer-to-wafer (W2W) hybrid bonding technology using ultra-high density nano-Cu filaments for exascale 2.5D/3D integration 査読有り
K-W Lee, C. Nagai, J-C Bea, T. Fukushima, R. Suresh, X. Wu, T. Tanaka, M. Koyanagi
2015 IEEE INTERNATIONAL ELECTRON DEVICES MEETING (IEDM) 185-188 2015年
出版者・発行元:IEEEDOI: 10.1109/IEDM.2015.7409652
-
Mechanical Characteristics of Thin Die/Wafers in Three-Dimensional Large-Scale Integrated Systems 査読有り
Murugesan Mariappan, Takafumi Fukushima, Jichoel C. Bea, Kang-Wook Lee, Mitsumasa Koyanagi
IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING 27 (3) 341-346 2014年8月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCISSN:0894-6507
eISSN:1558-2345
-
Self-Assembly Based 3D and Heterointegration 査読有り
Takafumi Fukushima, Jicheol Bea
Handbook of 3D Integration 3 325-334 2014年7月21日
出版者・発行元:Wiley BlackwellDOI: 10.1002/9783527670109.ch24
-
Impacts of Cu Contamination on Device Reliabilities in 3-D IC Integration 査読有り
Kang-Wook Lee, Ji-Chel Bea, Yuki Ohara, Mariappan Murugesan, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY 14 (1) 451-462 2014年3月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCDOI: 10.1109/TDMR.2013.2258022
ISSN:1530-4388
eISSN:1558-2574
-
Impacts of 3-D Integration Processes on Memory Retention Characteristics in Thinned DRAM Chip for High-Reliable 3-D DRAM 査読有り
Kang-Wook Lee, Seiya Tanikawa, Mariappan Murugesan, Hideki Naganuma, Ji-Choel Bea, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
IEEE TRANSACTIONS ON ELECTRON DEVICES 61 (2) 379-385 2014年2月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCISSN:0018-9383
eISSN:1557-9646
-
Reconfigured-Wafer-to-Wafer 3-D Integration Using Parallel Self-Assembly of Chips With Cu-SnAg Microbumps and a Nonconductive Film 査読有り
Takafumi Fukushima, Jichoel Bea, Hisashi Kino, Chisato Nagai, Mariappan Murugesan, Hideto Hashiguchi, Kang-Wook Lee, Tetsu Tanaka, Mitsumasa Koyanagi
IEEE TRANSACTIONS ON ELECTRON DEVICES 61 (2) 533-539 2014年2月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCISSN:0018-9383
eISSN:1557-9646
-
Deteriorated Device Characteristics in 3D-LSI Caused by Distorted Silicon Lattice 査読有り
Murugesan Mariappan, Yasuhiko Imai, Shigeru Kimura, Takafumi Fukushima, Ji-Choel Bea, Hisashi Kino, Kang-Wook Lee, Tetsu Tanaka, Mitsumasa Koyanagi
IEEE TRANSACTIONS ON ELECTRON DEVICES 61 (2) 540-547 2014年2月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCISSN:0018-9383
eISSN:1557-9646
-
Impacts of Cu Contamination in 3D Integration Process on Memory Retention Characteristics in Thinned DRAM Chip 査読有り
Kangwook Lee, Seiya Tanikawa, Hideki Naganuma, Jichel Bea, Mariappine Murugesan, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
2014 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM 2014年
出版者・発行元:IEEEISSN:1541-7026
-
Via-Last/Backside-Via 3D Integration Using a Visible-Light Laser Debonding Technique 査読有り
T. Fukushima, M. Mariappan, J. -C. Bea, H. Hashimoto, Y. Sato, M. Motoyoshi, K. -W. Lee, M. Koyanagi
2014 4TH IEEE INTERNATIONAL WORKSHOP ON LOW TEMPERATURE BONDING FOR 3D INTEGRATION (LTB-3D) 13-13 2014年
出版者・発行元:IEEE -
Barrier Properties of CVD Mn Oxide Layer to Cu Diffusion for 3-D TSV 査読有り
Kang-Wook Lee, Hao Wang, Ji-Cheol Bea, Mariappan Murugesan, Yuji Sutou, Takafumi Fukushima, Tetsu Tanaka, Junichi Koike, Mitsumasa Koyanagi
IEEE ELECTRON DEVICE LETTERS 35 (1) 114-116 2014年1月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCISSN:0741-3106
eISSN:1558-0563
-
Highly Beneficial Organic Liner with Extremely Low Thermal Stress for Fine Cu-TSV in 3D-Integration 査読有り
M. Murugesan, T. Fukushima, J. C. Bea, Y. Sato, H. Hashimoto, K. W. Lee, M. Koyanagi
2014 IEEE INTERNATIONAL ELECTRON DEVICES MEETING (IEDM) 374-377 2014年
出版者・発行元:IEEE -
Highly Dependable 3-D Stacked Multicore Processor System Module Fabricated Using Reconfigured Multichip-on-Wafer 3-D Integration Technology 査読有り
K-W. Lee, H. Hashimoto, M. Onishi, S. Konno, Y. Sato, C. Nagai, J-C Bea, M. Murugesan, T. Fukushima, T. Tanaka, M. Koyanagi
2014 IEEE INTERNATIONAL ELECTRON DEVICES MEETING (IEDM) 669-672 2014年
出版者・発行元:IEEE -
A Study on Positive Photosensitive Epoxy Resins Using Reaction Development Patterning (RDP) 査読有り
Wei Min Zhou, Takafumi Fukushima, Masao Tomoi, Toshiyuki Oyama
JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 27 (6) 713-717 2014年
出版者・発行元:TECHNICAL ASSOC PHOTOPOLYMERS,JAPANDOI: 10.2494/photopolymer.27.713
ISSN:0914-9244
-
Wafer Thinning for High-Density Three Dimensional Integration _ 12-Inch Wafer-Level 3D-LSI Program at GINTI 査読有り
M. Murugesan, T. Fukushima, J. C. Bea, H. Hashimoto, Y. Sato, K. W. Lee, M. Koyanagi
2014 25TH ANNUAL SEMI ADVANCED SEMICONDUCTOR MANUFACTURING CONFERENCE (ASMC) 57-61 2014年
出版者・発行元:IEEEDOI: 10.1109/ASMC.2014.6846977
ISSN:1078-8743
-
A New Temporary Bonding Technology with Spin-on Glass and Hydrogenated Amorphous Si for 3D LSIs 査読有り
H. Hashiguchi, T. Fukushima, H. Kino, K. -W. Lee, T. Tanaka, M. Koyanagi
2014 INTERNATIONAL CONFERENCE ON ELECTRONICS PACKAGING (ICEP) 74-77 2014年
出版者・発行元:IEEEDOI: 10.1109/ICEP.2014.6826664
-
A Resilient 3-D Stacked Multicore Processor Fabricated Using Die-level 3-D Integration and Backside TSV Technologies 査読有り
K-W. Lee, H. Hashimoto, M. Onishi, Y. Sato, M. Murugesan, J-C Bea, T. Fukushima, T. Tanaka, M. Koyanagi
2014 IEEE 64TH ELECTRONIC COMPONENTS AND TECHNOLOGY CONFERENCE (ECTC) 304-308 2014年
出版者・発行元:IEEEDOI: 10.1109/ECTC.2014.6897303
ISSN:0569-5503
-
Replacing the PECVD-SiO2 in the Through-Silicon Via of High-Density 3D LSIs with Highly Scalable Low Cost Organic Liner: Merits and Demerits 査読有り
Murugesan Mariappan, Takafumi Fukushima, JiChel Beatrix, Hiroyuki Hashimoto, Yutaka Sato, Kangwook Lee, Tetsu Tanaka, Mitsumasa Koyanagi
2014 IEEE 64TH ELECTRONIC COMPONENTS AND TECHNOLOGY CONFERENCE (ECTC) 636-640 2014年
出版者・発行元:IEEEDOI: 10.1109/ECTC.2014.6897353
ISSN:0569-5503
eISSN:2377-5726
-
Temporary Spin-on Glass Bonding Technologies for Via-Last/Backside-Via 3D Integration Using Multichip Self-Assembly 査読有り
H. Hashiguchi, T. Fukushima, A. Noriki, H. Kino, K. -W. Lee, T. Tanaka, M. Koyanagi
2014 IEEE 64TH ELECTRONIC COMPONENTS AND TECHNOLOGY CONFERENCE (ECTC) 856-861 2014年
出版者・発行元:IEEEDOI: 10.1109/ECTC.2014.6897386
ISSN:0569-5503
-
Minimization of Keep-Out-Zone (KOZ) in 3D IC by Local Bending Stress Suppression with Low Temperature Curing Adhesive 査読有り
Hisashi Kino, Hideto Hashiguchi, Yohei Sugawara, Seiya Tanikawa, Takafumi Fukushima, Kangwook Lee, Mitsumasa Koyanagi, Tetsu Tanaka
2014 IEEE 64TH ELECTRONIC COMPONENTS AND TECHNOLOGY CONFERENCE (ECTC) 1110-1115 2014年
出版者・発行元:IEEEDOI: 10.1109/ECTC.2014.6897428
ISSN:0569-5503
-
Direct Multichip-to-Wafer 3D Integration Technology Using Flip-Chip Self-Assembly of NCF-Covered Known Good Dies 査読有り
Yuka Ito, Mariappan Murugesan, Takafumi Fukushima, Kang-Wook Lee, Koji Choki, Tetsu Tanaka, Mitsumasa Koyanagi
2014 IEEE 64TH ELECTRONIC COMPONENTS AND TECHNOLOGY CONFERENCE (ECTC) 1148-1153 2014年
出版者・発行元:IEEEDOI: 10.1109/ECTC.2014.6897434
ISSN:0569-5503
-
Via-last/backside-via 3D integration using a visible-light laser debonding technique 査読有り
T. Fukushima, M. Mariappan, J. C. Bea, H. Hashimoto, Y. Sato, M. Motoyoshi, K. W. Lee, M. Koyanagi
Proceedings of 2014 4th IEEE International Workshop on Low Temperature Bonding for 3D Integration, LTB-3D 2014 13-70 2014年
出版者・発行元:IEEE Computer SocietyDOI: 10.1109/LTB-3D.2014.6886152
-
Highly Thermoresistant Temporary Bonding/Debonding System without Organic Adhesives for 3D Integration 査読有り
H. Hashiguchi, T. Fukushima, M. Murugesan, J. -C. Bea, H. Kino, K. -W. Lee, T. Tanaka, M. Koyanagi
2014 4TH IEEE INTERNATIONAL WORKSHOP ON LOW TEMPERATURE BONDING FOR 3D INTEGRATION (LTB-3D) 14-14 2014年
出版者・発行元:IEEEDOI: 10.1109/LTB-3D.2014.6886153
-
Surface-Tension Driven Self-Assembly for VCSEL Chip Bonding to Achieve 3D and Hetero Integration 査読有り
Y. Ito, T. Fukushima, K. -W. Lee, K. Choki, T. Tanaka, M. Koyanagi
2014 4TH IEEE INTERNATIONAL WORKSHOP ON LOW TEMPERATURE BONDING FOR 3D INTEGRATION (LTB-3D) 15-15 2014年
出版者・発行元:IEEEDOI: 10.1109/LTB-3D.2014.6886154
-
Characterization of Vapor Deposited Polyimides and Process Integration with the Polymeric Liner for Via-Last/Backside-Via Cu-TSV Formation 査読有り
Takafumi Fukushima, Murugesan Mariappan, Jichoel Bea, Kang-Wook Lee, Mitsumasa Koyanagi
Extended Abstract of International Conference on Solid State Devices and Materials (SSDM) 720-721 2014年
-
Stress Distribution Pattern in Cross-Sectional 3D-LSI Examined by u-XRD 査読有り
M. Mariappan, J.C. Bea, T. Fukushima, K.W. Lee, M. Koyaangi
Extended Abstract of International Conference on Solid State Devices and Materials (SSDM) 724-725 2014年
-
Investigation of the Plasma Damage by Etching Process for TSV Formation in Via-last Backside-via 3D IC 査読有り
Y. Sugawara, H. Hashiguchi, S. Tanikawa, H. Kino, K. Lee, T. Fukushima, M. Koyanagi, T. Tanaka
Extended Abstract of International Conference on Solid State Devices and Materials (SSDM) 726-727 2014年
-
Tiny VCSEL Chip Self-Assembly for Advanced Chip-to-Wafer 3D and Hetero Integration 査読有り
Takafumi Fukushima, Yuka Ito, Mariappan Murugesan, Jicheol Bea, Kangwook Lee, Koji Choki, Tetsu Tanaka, Mitsumasa Koyanagi
2014 INTERNATIONAL 3D SYSTEMS INTEGRATION CONFERENCE (3DIC) O4 2014年
出版者・発行元:IEEEISSN:2164-0157
-
Effects of Electro-less Ni Layer as Barrier/Seed Layers for High Reliable and Low Cost Cu TSV 査読有り
K. W. Lee, C. Nagai, A. Nakamura, J. C. Bea, M. Murugesan, T. Fukushima, T. Tanaka, M. Koyanagi
2014 INTERNATIONAL 3D SYSTEMS INTEGRATION CONFERENCE (3DIC) O13 2014年
出版者・発行元:IEEEISSN:2164-0157
-
Micro-XRD Investigation of Fine-Pitch Cu-TSV Induced Thermo-Mechanical Stress in High-Density 3D-LSI 査読有り
M. Murugesan, T. Fukushima, J. C. Bea, K. W. Lee, M. Koyanagi, Y. Imai, S. Kimura, T. Tanaka
2014 INTERNATIONAL 3D SYSTEMS INTEGRATION CONFERENCE (3DIC) O18 2014年
出版者・発行元:IEEEISSN:2164-0157
-
Die-Level 3-D Integration Technology for Rapid Prototyping of High-Performance Multifunctionality Hetero-Integrated Systems 査読有り
Kang-Wook Lee, Yuki Ohara, Kouji Kiyoyama, Ji-Cheol Bea, Mariappan Murugesan, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
IEEE TRANSACTIONS ON ELECTRON DEVICES 60 (11) 3842-3848 2013年11月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCISSN:0018-9383
eISSN:1557-9646
-
Degradation of Memory Retention Characteristics in DRAM Chip by Si Thinning for 3-D Integration 査読有り
Kangwook Lee, Seiya Tanikawa, Mariappine Murugesan, Hideki Naganuma, Haro Shimamoto, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
IEEE ELECTRON DEVICE LETTERS 34 (8) 1038-1040 2013年8月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCISSN:0741-3106
eISSN:1558-0563
-
Investigation of Local Bending Stress Effect on Complementary Metal–Oxide–Semiconductor Characteristics in Thinned Si Chip for Chip-to-Wafer Three-Dimensional Integration 査読有り
Hisashi Kino, Ji Cheol Bea, Mariappan Murugesan, Kang, Wook Lee, Takafumi Fukushima, Mitsumasa Koyanagi, Tetsu Tanaka
Japanese Journal of Applied Physics 52 (4) 04CB11-1-04CB11-5 2013年4月
ISSN:0021-4922 1347-4065
-
Reductant-Assisted Self-Assembly with Cu/Sn Microbump for Three-Dimensional Heterogeneous Integration 査読有り
Yuka Ito, Takafumi Fukushima, Kang-Wook Lee, Koji Choki, Tetsu Tanaka, Mitsumasa Koyanagi
JAPANESE JOURNAL OF APPLIED PHYSICS 52 (4) 04CB09-1-04CB09-6 2013年4月
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
3D Hetero-Integration Technology with Backside TSV and Reliability Challenges 査読有り
Kang-Wook Lee, M. Murugesan, T. Fukushima, T. Tanaka, M. Koyanagi
2013 IEEE SOI-3D-SUBTHRESHOLD MICROELECTRONICS TECHNOLOGY UNIFIED CONFERENCE (S3S) 2013年
出版者・発行元:IEEE -
Challenges in 3D Integration 査読有り
Mitsumasa Koyanagi, Kang Wook Lee, Takafumi Fukushima, Tetsu Tanaka
SILICON COMPATIBLE MATERIALS, PROCESSES, AND TECHNOLOGIES FOR ADVANCED INTEGRATED CIRCUITS AND EMERGING APPLICATIONS 3 53 (3) 237-244 2013年
出版者・発行元:ELECTROCHEMICAL SOC INCISSN:1938-5862
eISSN:1938-6737
-
Revisiting the Silicon-Lattice in the High-Density 3D-LSIs - In the Perspective of Device Reliability 査読有り
M. Murugesan, T. Fukushima, J. C. Bea, K. W. Lee, T. Tanaka, M. Koyanagi
2013 IEEE INTERNATIONAL ELECTRON DEVICES MEETING (IEDM) 172-175 2013年
出版者・発行元:IEEEDOI: 10.1109/IEDM.2013.6724578
-
Characterization and Reliability of 3D LSI and SiP 査読有り
K-W. Lee, M. Murugesan, Jichel Bea, T. Fukushima, T. Tanaka, M. Koyanagi
2013 IEEE INTERNATIONAL ELECTRON DEVICES MEETING (IEDM) 176-179 2013年
出版者・発行元:IEEEDOI: 10.1109/IEDM.2013.6724579
-
3次元システムLSI開発のためのチップレベルTSVプロセス 査読有り
朴澤一幸, 古田太, 花岡裕子, 青木真由, 長田健一, 武田健一, LEE Kang Wook, 福島誉史, 小柳光正
電子情報通信学会論文誌 C J96-C (11) 335-343 2013年
ISSN:1345-2827
-
Chip-to-Wafer 3D Stacking Using Self-Assembly and Electrostatic Temporary Bonding/Debonding 査読有り
H. Hashiguchi, T. Fukushima, J. Bea, H. Kino, K.-W. Lee, T. Tanaka, M. Koyanagi
Proceedings of International Conference on Electronics Packaging (ICEP) 502-505 2013年
-
3D Integration technologies using self-assembly and electrostatic temporary multichip bonding 査読有り
T. Fukushima, H. Hashiguchi, J. Bea, M. Murugesan, K. W. Lee, T. Tanaka, M. Koyanagi
Proceedings - Electronic Components and Technology Conference 58-63 2013年
DOI: 10.1109/ECTC.2013.6575550
ISSN:0569-5503
-
Impacts of static and dynamic local bending of thinned Si chip on MOSFET performance in 3-D stacked LSI 査読有り
H. Kino, J. C. Bea, M. Murugesan, K. W. Lee, T. Fukushima, M. Koyanagi, T. Tanaka
Proceedings - Electronic Components and Technology Conference 360-365 2013年
DOI: 10.1109/ECTC.2013.6575596
ISSN:0569-5503
-
Flux-Assisted Self-Assembly with Microbump Bonding for 3D Heterogeneous Integration 査読有り
Yuka Ito, Takafumi Fukushima, Kang-Wook Lee, Koji Choki, Tetsu Tanaka, Mitsumasa Koyanagi
2013 IEEE 63RD ELECTRONIC COMPONENTS AND TECHNOLOGY CONFERENCE (ECTC) 891-896 2013年
出版者・発行元:IEEEDOI: 10.1109/ECTC.2013.6575679
-
Young Modulus of Si in 3D-LSIs and Reliability 査読有り
M. Murugesan, J.C. Bea, T. Fukushima, K.W. Lee, T. Tanaka, M. Koyanagi
Extended Abstract of International Conference on Solid State Devices and Materials (SSDM) 38-39 2013年
-
Self-Assembly and Electrostatic (SAE) Carrier Technology for Via-Last Backside-Via Multichip-to-Wafer 3D Integration 査読有り
H. Hashiguchi, T. Fukushima, J.C. Bea, K.W. Lee, T. Tanaka, M. Koyanagi
Extended Abstract of International Conference on Solid State Devices and Materials (SSDM) 40-41 2013年
-
Local Bending Stress Reduction with Room-Temperature Curing Adhesive for Decrease in Keep-out-Zone (KOZ) of 3D-IC 査読有り
H. Kino, T. Fukushima, K.-W. Lee, M. Koyanagi, T. Tanaka
Extended Abstract of International Conference on Solid State Devices and Materials (SSDM) 862-863 2013年
-
Low-Temperature and High-Step-Coverage Polyimide TSV Liner Formation by Vapor Deposition Polymerization 査読有り
T. Fukushima, M. Murugesan, J. Bea, K.W. Lee, M. Koyanagi
Extended Abstract of International Conference on Solid State Devices and Materials (SSDM) 866-867 2013年
-
Self-Assembly Study to Precisely Align Dies Having Microbump Covered with Non-Conductive Film for Advanced Chip-to-Wafer 3D Integration 査読有り
Y. Ito, T. Fukushima, K.W. Lee, K. Choki, T. Tanaka, M. Koyanagi
Extended Abstract of International Conference on Solid State Devices and Materials (SSDM) 988-989 2013年
-
Mechanical Characteristics of Thin Dies/Wafers in Three-Dimensional Large-Scale Integrated systems 査読有り
M. Murugesan, T. Fukushima, J. C. Bea, K. W. Lee, M. Koyanagi, T. Tanaka
2013 24TH ANNUAL SEMI ADVANCED SEMICONDUCTOR MANUFACTURING CONFERENCE (ASMC) 66-69 2013年
出版者・発行元:IEEEDOI: 10.1109/ASMC.2013.6552777
ISSN:1078-8743
-
Impact of 3-D integration process on memory retention characteristics in thinned DRAM chip for 3-D memory 査読有り
K-W Lee, S. Tanikawa, M. Murugesan, H. Naganuma, J-C Bea, T. Fukushima, T. Tanaka, M. Koyanagi
2013 IEEE INTERNATIONAL 3D SYSTEMS INTEGRATION CONFERENCE (3DIC) 2013年
出版者・発行元:IEEEDOI: 10.1109/3DIC.2013.6702336
ISSN:2164-0157
-
Highly Efficient TSV Repair Technology for Resilient 3-D Stacked Multicore Processor System 査読有り
H. Hashimoto, T. Fukushima, K. W. Lee, M. Koyanagi, T. Tanaka
2013 IEEE INTERNATIONAL 3D SYSTEMS INTEGRATION CONFERENCE (3DIC) 2013年
出版者・発行元:IEEEDOI: 10.1109/3DIC.2013.6702338
ISSN:2164-0157
-
3D Memory Chip Stacking by Multi-Layer Self-Assembly Technology 査読有り
T. Fukushima, J. Bea, M. Murugesan, H. -Y. Son, M. -S. Sun, K. -Y. Byun, N. -S. Kim, K. -W. Lee, M. Koyanagi
2013 IEEE INTERNATIONAL 3D SYSTEMS INTEGRATION CONFERENCE (3DIC) 2013年
出版者・発行元:IEEEDOI: 10.1109/3DIC.2013.6702360
ISSN:2164-0157
-
A Block-Parallel ADC with Digital Noise Cancelling for 3-D Stacked CMOS Image Sensor 査読有り
K. Kiyoyama, Y. Sato, H. Hashimoto, K-W Lee, T. Fukushima, T. Tanaka, M. Koyanagi
2013 IEEE INTERNATIONAL 3D SYSTEMS INTEGRATION CONFERENCE (3DIC) 2013年
出版者・発行元:IEEEDOI: 10.1109/3DIC.2013.6702363
ISSN:2164-0157
-
Effect of CVD Mn Oxide Layer as Cu Diffusion Barrier for TSV 査読有り
M. Murugesan, J. C. Bea, K. W. Lee, T. Fukushima, T. Tanaka, M. Koyanagi, Y. Sutou, H. Wang, J. Koike
2013 IEEE INTERNATIONAL 3D SYSTEMS INTEGRATION CONFERENCE (3DIC) 2013年
出版者・発行元:IEEEDOI: 10.1109/3DIC.2013.6702364
ISSN:2164-0157
-
Development of Via-Last 3D Integration Technologies Using a New Temporary Adhesive System 査読有り
T. Fukushima, J. Bea, M. Murugesan, K. -W. Lee, M. Koyanagi
2013 IEEE INTERNATIONAL 3D SYSTEMS INTEGRATION CONFERENCE (3DIC) 2013年
出版者・発行元:IEEEDOI: 10.1109/3DIC.2013.6702383
ISSN:2164-0157
-
Multichip-to-Wafer Three-Dimensional Integration Technology Using Chip Self-Assembly With Excimer Lamp Irradiation 査読有り
Takafumi Fukushima, Eiji Iwata, Yuki Ohara, Mariappan Murugesan, Jichoel Bea, Kangwook Lee, Tetsu Tanaka, Mitsumasa Koyanagi
IEEE TRANSACTIONS ON ELECTRON DEVICES 59 (11) 2956-2963 2012年11月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCISSN:0018-9383
eISSN:1557-9646
-
Instability-driven terahertz emission and injection locking behavior in an asymmetric dual-grating-gate HEMT with a vertical cavity structure 査読有り
T. Watanabe, T. Fukushima, Y. Kurita, A. Satou, T. Otsuji
ICMNE: Int. Conf. on Micro and Nanoelectronics, Moscow, Russia, Oct. 1-5, 2012. 1 (1) P1-43 2012年10月3日
-
Pillar-shaped stimulus electrode array for high-efficiency stimulation of fully implantable epiretinal prosthesis 査読有り
Kang-Wook Lee, Yoshinobu Watanabe, Chikashi Kigure, Takafumi Fukushima, Mitsumasa Koyanagi, Tetsu Tanaka
JOURNAL OF MICROMECHANICS AND MICROENGINEERING 22 (10) 2012年10月
出版者・発行元:IOP PUBLISHING LTDDOI: 10.1088/0960-1317/22/10/105015
ISSN:0960-1317
eISSN:1361-6439
-
Impact of Cu Contamination on Memory Retention Characteristics in Thinned DRAM Chip for 3-D Integration 査読有り
Kangwook Lee, Takaharu Tani, Hideki Naganuma, Yuki Ohara, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
IEEE ELECTRON DEVICE LETTERS 33 (9) 1297-1299 2012年9月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCISSN:0741-3106
eISSN:1558-0563
-
High-step-coverage Cu-lateral interconnections over 100 μm thick chips on a polymer substrate—an alternative method to wire bonding 査読有り
M Murugesan, T Fukushima, K Kiyoyama, J-C Bea, T Tanaka, M Koyanagi
JOURNAL OF MICROMECHANICS AND MICROENGINEERING 22 (8) 2012年8月
出版者・発行元:NoneDOI: 10.1088/0960-1317/22/8/085033
ISSN:0960-1317
eISSN:1361-6439
-
Low-Resistance Cu-Sn Electroplated-Evaporated Microbumps for 3D Chip Stacking 査読有り
M. Murugesan, Y. Ohara, T. Fukushima, T. Tanaka, M. Koyanagi
JOURNAL OF ELECTRONIC MATERIALS 41 (4) 720-729 2012年4月
出版者・発行元:SPRINGERDOI: 10.1007/s11664-012-1949-1
ISSN:0361-5235
-
Impact of Data Transmission over 10 Gbps on High-Density and Low-Cost Optoelectronic Module with Polynorbornene Waveguides 査読有り
Yuka Ito, Shinsuke Terada, Shinya Arai, Makoto Fujiwara, Tetsuya Mori, Koji Choki, Takafumi Fukushima, Mitsumasa Koyanagi
JAPANESE JOURNAL OF APPLIED PHYSICS 51 (4) 04DG01-1-04DG01-4 2012年4月
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
Through-Silicon Photonic Via and Unidirectional Coupler for High-Speed Data Transmission in Optoelectronic Three-Dimensional LSI 査読有り
Akihiro Noriki, Kangwook Lee, Jicheol Bea, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
IEEE ELECTRON DEVICE LETTERS 33 (2) 221-223 2012年2月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCISSN:0741-3106
-
Heterogeneous 3D Integration Technology and New 3D LSIs 査読有り
Mitsumasa Koyanagi, Kang-Wook Lee, Takafumi Fukushima, Tetsu Tanaka
2012 IEEE 11TH INTERNATIONAL CONFERENCE ON SOLID-STATE AND INTEGRATED CIRCUIT TECHNOLOGY (ICSICT-2012) 240-243 2012年
出版者・発行元:IEEE -
Chip-Based Hetero-Integration Technology for High-Performance 3D Stacked Image Sensor 査読有り
Yuki Ohara, Kang Wook Lee, Koji Kiyoyama, Shigehide Konno, Yutaka Sato, Shuichi Watanabe, Atsushi Yabata, Harufumi Kobayashi, Tadashi Kamada, Jichel Bea, Mariappan Murugesan, Hiroyuki Hashimoto, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
2012 2ND IEEE CPMT SYMPOSIUM JAPAN 2012年
出版者・発行元:IEEEISSN:2373-5449
-
Minimizing the Local Deformation Induced around Cu-TSVs and CuSn/InAu-Microbumps in High-Density 3D-LSIs 査読有り
M. Murugesan, H. Kobayashi, H. Shimamoto, F. Yamada, T. Fukushima, J. C. Bea, K. W. Lee, T. Tanaka, M. Koyanagi
2012 IEEE INTERNATIONAL ELECTRON DEVICES MEETING (IEDM) 657-660 2012年
出版者・発行元:IEEEDOI: 10.1109/IEDM.2012.6479124
-
Characterization of Chip-level Hetero-Integration Technology for High-Speed, Highly Parallel 3D-Stacked Image Processing System 査読有り
K-W Lee, Y. Ohara, K. Kiyoyama, S. Konno, Y. Sato, S. Watanabe, A. Yabata, T. Kamada, J-C Bea, H. Hashimoto, M. Murugesan, T. Fukushima, T. Tanaka, M. Koyanagi
2012 IEEE INTERNATIONAL ELECTRON DEVICES MEETING (IEDM) 785-788 2012年
出版者・発行元:IEEEDOI: 10.1109/IEDM.2012.6479156
-
New Chip-to-Wafer 3D Integration Technology Using Hybrid Self-Assembly and Electrostatic Temporary Bonding 査読有り
T. Fukushima, H. Hashiguchi, J. Bea, Y. Ohara, M. Murugesan, K. -W. Lee, T. Tanaka, M. Koyanagi
2012 IEEE INTERNATIONAL ELECTRON DEVICES MEETING (IEDM) 789-792 2012年
出版者・発行元:IEEEDOI: 10.1109/IEDM.2012.6479157
-
Impact of Cu Diffusion from Cu Through-Silicon Via (TSV) on Device Reliability in 3-D LSIs Evaluated by Transient Capacitance Measurement 査読有り
Kangwook Lee, Jichel Bea, Yuki Ohara, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
2012 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM (IRPS) 2B.4.1-2B.4.6 2012年
出版者・発行元:IEEEDOI: 10.1109/IRPS.2012.6241777
-
Thermomechanical reliability challenges induced by high density Cu TSVs and metal micro-joining for 3-D ICs 査読有り
Kangwook Lee, Itakafumi Fukushima, Tetsu Tanaka, Imitsumasa Koyanagi
2012 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM (IRPS) 5F.2.1-5F.2.4 2012年
出版者・発行元:IEEEDOI: 10.1109/IRPS.2012.6241860
-
Self-assembly-based 3D integration technologies 査読有り
T. Fukushima, J. Bea, M. Murugesan, K. W. Lee, T. Tanaka, M. Koyanagi
Proceedings of 2012 3rd IEEE International Workshop on Low Temperature Bonding for 3D Integration, LTB-3D 2012 151-152 2012年
DOI: 10.1109/LTB-3D.2012.6238075
-
Non-Conductive Film and Compression Molding Technology for Self-Assembly-Based 3D Integration 査読有り
T. Fukushima, Y. Ohara, J. Bea, M. Murugesan, K. -W. Lee, T. Tanaka, M. Koyanagi
2012 IEEE 62ND ELECTRONIC COMPONENTS AND TECHNOLOGY CONFERENCE (ECTC) 393-398 2012年
出版者・発行元:IEEEDOI: 10.1109/ECTC.2012.6248860
-
Locally Induced Stress in Stacked Ultrathin Si wafers: XPS and mu-Raman study 査読有り
M. Murugesan, H. Nohira, H. Kobayashi, T. Fukushima, T. Tanaka, M. Koyanagi
2012 IEEE 62ND ELECTRONIC COMPONENTS AND TECHNOLOGY CONFERENCE (ECTC) 625-629 2012年
出版者・発行元:IEEEDOI: 10.1109/ECTC.2012.6248896
-
Optical interconnect technology for 3-D LSI and neural engineering applications 査読有り
T. Tanaka, A. Noriki, T. Kukushima, K-W Lee, M. Koyanagi
2012 IEEE INTERNATIONAL INTERCONNECT TECHNOLOGY CONFERENCE (IITC) 2012年
出版者・発行元:IEEE -
Demonstration of inter-chip data transmission in a three-dimensional stacked chip fabricated by chip-level TSV integration 査読有り
Kazuyuki Hozawa, Futoshi Furuta, Yuko Hanaoka, Mayu Aoki, Kenichi Osada, Kenichi Takeda, Kang Wook Lee, Takafumi Fukushima, Mitsumasa Koyanagi
Digest of Technical Papers - Symposium on VLSI Technology 175-176 2012年
DOI: 10.1109/VLSIT.2012.6242518
ISSN:0743-1562
-
10μm-Pitch In-Au Microbump Interconnection by Chip Self-Assembly with Excimer Lamp Irradiation for 3D LSI Applications 査読有り
Takafumi Fukushima, Jichoel Bea, Mariappan Murugesan, Kang-Wook Lee, Tetsu Tanaka, Mitsumasa Koyanagi
Extended Abstract of International Conference on Solid State Devices and Materials (SSDM) 46-47 2012年
-
Grapho-Assembly Technology for Sub-Micron Accuracy 3D Chip Stacking with High-Density Through-Si Vias and Metal Microbumps 査読有り
Takafumi Fukushima, Masaki Onishi, Jichoel Bea, Sayuri Hioki, Mariappan Murugesan, Kang-Wook Lee, Tetsu Tanaka, Mitsumasa Koyanagi
Extended Abstract of International Conference on Solid State Devices and Materials (SSDM) 48-49 2012年
-
The Influence of Cu Diffusion from Cu Through-Silicon Via(TSV) on Device Reliability in the 3D LSI by Using C–V and C–t Measurements 査読有り
Jichoel Bea, Kang-Wook Lee, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
Extended Abstract of International Conference on Solid State Devices and Materials (SSDM) 50-51 2012年
-
Analysis of Local Bending Stress Effect on CMOS Performance Fabricated in Thinned Si Chip for Chip-to-Wafer 3D Integration 査読有り
H. Kino, J-C. Bea, M. Murugesan, K-W. Lee, T. Fukushima, T. Tanaka, M. Koyanagi
Extended Abstract of International Conference on Solid State Devices and Materials (SSDM) 52-53 2012年
-
Optoelectronic Heterogeneous Integration Technology, Using Reductant-Assisted Self-Assembly with Cu/Sn Microbump 査読有り
Yuka Ito, Takafumi Fukushima, Kang-Wook Lee, Koji Choki, Tetsu Tanaka, Mitsumasa Koyanagi
Extended Abstract of International Conference on Solid State Devices and Materials (SSDM) 1176-1177 2012年
-
Electrostatic Temporary Bonding Technology and TSV Formation for Reconfigured Wafer-to-Wafer 3D Integration 査読有り
Hideto Hashiguchi, Jichoel Bea, Yuki Ohara, Takafumi Fukushima, Kang-Wook Lee, Tetsu Tanaka, Mitsumasa Koyanagi
Extended Abstract of International Conference on Solid State Devices and Materials (SSDM) 1183-1184 2012年
-
Reliability Challenges in High-Density 3D-Integration 査読有り
M. Murugesan, H. Kobayashi, T. Fukushima, T. Tanaka, M. Koyanagi
Extended Abstract of International Conference on Solid State Devices and Materials (SSDM) 1185-1186 2012年
-
Cu Contamination Assessment and Control in 3-D Integration 査読有り
Mitsumasa Koyanagi, Kang Wook Lee, Jicheol Bea, Takafumi Fukushima, Tetsu Tanaka
The 222nd ECS Meeting: PRiME (Pacific Rim Meeting) 2012年
-
Long Term Retention Characteristics of MOS Memory Devices with Self-Assembled Tungsten Nano-Dot Dispersed in Silicon Nitride 査読有り
Y. Pei, T. Fukushima, T. Tanaka, M. Koyanagi
Materials Research Society (MRS) 2008 Spring Meeting, Symposium F: Materials Science and Technology for Nonvolatile Memories, F2.4 2012年
-
Multichip Self-Assembly Technology for Advanced Die-to-Wafer 3-D Integration to Precisely Align Known Good Dies in Batch Processing 査読有り
Takafumi Fukushima, Eiji Iwata, Yuki Ohara, Mariappan Murugesan, Jichoel Bea, Kangwook Lee, Tetsu Tanaka, Mitsumasa Koyanagi
IEEE TRANSACTIONS ON COMPONENTS PACKAGING AND MANUFACTURING TECHNOLOGY 1 (12) 1873-1884 2011年12月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCDOI: 10.1109/TCPMT.2011.2160266
ISSN:2156-3950
eISSN:2156-3985
-
Advanced die-to-wafer 3D integration platform: Self-assembly technology 査読有り
Takafumi Fukushima, Kang-Wook Lee, Tetsu Tanaka, Mitsumasa Koyanagi
3D Integration for VLSI Systems 153-174 2011年9月30日
出版者・発行元:Pan Stanford Publishing Pte. Ltd. -
Evaluation of Cu Diffusion From Cu Through-Silicon Via (TSV) in Three-Dimensional LSI by Transient Capacitance Measurement 査読有り
Jichel Bea, Kangwook Lee, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
IEEE ELECTRON DEVICE LETTERS 32 (7) 940-942 2011年7月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCISSN:0741-3106
eISSN:1558-0563
-
MOSFET Nonvolatile Memory with High-Density Cobalt-Nanodots Floating Gate and HfO2 High-k Blocking Dielectric 査読有り
Yanli Pei, Chengkuan Yin, Toshiya Kojima, Ji-Cheol Bea, Hisashi Kino, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
IEEE TRANSACTIONS ON NANOTECHNOLOGY 10 (3) 528-531 2011年5月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCDOI: 10.1109/TNANO.2010.2050331
ISSN:1536-125X
-
Three-Dimensional Hybrid Integration Technology of CMOS, MEMS, and Photonics Circuits for Optoelectronic Heterogeneous Integrated Systems 査読有り
Kang-Wook Lee, Akihiro Noriki, Kouji Kiyoyama, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
IEEE TRANSACTIONS ON ELECTRON DEVICES 58 (3) 748-757 2011年3月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCISSN:0018-9383
eISSN:1557-9646
-
Self-Assembly of Chip-Size Components with Cavity Structures: High-Precision Alignment and Direct Bonding without Thermal Compression for Hetero Integration 査読有り
Takafumi Fukushima, Takayuki Konno, Eiji Iwata, Risato Kobayashi, Toshiya Kojima, Mariappan Murugesan, Ji-Chel Bea, Kang-Wook Lee, Tetsu Tanaka, Mitsumasa Koyanagi
MICROMACHINES 2 (1) 49-68 2011年3月
出版者・発行元:MDPI AGDOI: 10.3390/mi2010049
ISSN:2072-666X
-
Electrical evaluation of Cu contamination behavior at the backside surface of a thinned wafer by transient capacitance measurement 査読有り
K-W Lee, J-C Bea, T. Fukushima, T. Tanaka, M. Koyanagi
SEMICONDUCTOR SCIENCE AND TECHNOLOGY 26 (2) 2011年2月
出版者・発行元:IOP PUBLISHING LTDDOI: 10.1088/0268-1242/26/2/025007
ISSN:0268-1242
eISSN:1361-6641
-
Chip-level TSV integration for rapid prototyping of 3D system LSIs 査読有り
Kazuyuki Hozawa, Futoshi Furuta, Yuko Hanaoka, Mayu Aoki, Kenichi Takeda, Katsuyuki Sakuma, Kang Wook Lee, Takafumi Fukushima, Mitsumasa Koyanagi
2011 IEEE International 3D Systems Integration Conference, 3DIC 2011 2011年
DOI: 10.1109/3DIC.2012.6262952
-
Stacked SOI pixel detector using versatile fine pitch μ-bump technology 査読有り
Makoto Motoyoshi, Junichi Takanohashi, Takafumi Fukushima, Yasuo Arai, Mitsumasa Koyanagi
2011 IEEE International 3D Systems Integration Conference, 3DIC 2011 2011年
DOI: 10.1109/3DIC.2012.6262959
-
3D Integration Technology and Reliability Challenges 査読有り
Kangwook Lee, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
2011 IEEE ELECTRICAL DESIGN OF ADVANCED PACKAGING AND SYSTEMS SYMPOSIUM (EDAPS) 2011年
出版者・発行元:IEEEISSN:2151-1225
-
Cu Retardation Performance of Extrinsic Gettering Layers in Thinned Wafers Evaluated by Transient Capacitance Measurement 査読有り
K-W. Lee, J-C. Bea, T. Fukushima, T. Tanaka, M. Koyanagi
JOURNAL OF THE ELECTROCHEMICAL SOCIETY 158 (8) H795-H799 2011年
出版者・発行元:ELECTROCHEMICAL SOC INCDOI: 10.1149/1.3597317
ISSN:0013-4651
eISSN:1945-7111
-
Energy Band Engineering of Metal Nanodots for High Performance Nonvolatile Memory Application 査読有り
Yanli Pei, Tatsuro Hiraki, Toshiya Kojima, Takafumi Fukushima, Mitsumasa Koyanagi, Tetsu Tanaka
TECHNOLOGY EVOLUTION FOR SILICON NANO-ELECTRONICS 470 140-+ 2011年
出版者・発行元:TRANS TECH PUBLICATIONS LTDDOI: 10.4028/www.scientific.net/KEM.470.140
ISSN:1013-9826
-
三次元集積化技術とヘテロインテグレーション 査読有り
小柳光正, 福島誉史, LEE Kangwook, 田中徹
電子情報通信学会論文誌 C J94-C (11) 355-364 2011年
ISSN:1345-2827
-
三次元チップ積層のための電解めっきと蒸着法を用いた高密度Cu/Snマイクロバンプ形成技術 査読有り
大原悠希, 乗木暁博, LEE Kang-Wook, 福島誉史, 田中徹, 田中徹, 小柳光正
電子情報通信学会論文誌 C J94-C (11) 394-401 2011年
ISSN:1345-2827
-
シリコンバンプ上に積層した薄化チップの曲げ応力とデバイス特性評価 査読有り
木野久志, MURUGESAN Mariappan, 小島俊哉, 福島誉史, 田中徹, 田中徹, 小柳光正
電子情報通信学会論文誌 C J94-C (11) 411-418 2011年
ISSN:1345-2827
-
Evaluation of Cu Contamination at Backside Surface of Thinned Wafer in 3-D Integration by Transient-Capacitance Measurement 査読有り
Jichel Bea, Kangwook Lee, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
IEEE ELECTRON DEVICE LETTERS 32 (1) 66-68 2011年1月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCISSN:0741-3106
eISSN:1558-0563
-
High Density 3D LSI Technology using W/Cu Hybrid TSVs 査読有り
M. Murugesan, H. Kino, A. Hashiguchi, C. Miyazaki, H. Shimamoto, H. Kobayashi, T. Fukushima, T. Tanaka, M. Koyanagi
2011 IEEE INTERNATIONAL ELECTRON DEVICES MEETING (IEDM) 139-142 2011年
出版者・発行元:IEEEDOI: 10.1109/IEDM.2011.6131503
-
Development of 5 µm Diameter Backside Cu TSV Technology for 3D LSI 査読有り
Yuki Ohara, Yoshitomo Watanabe, Kangwook Lee, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
Proceedings of the International Conference on Electronics Packaging (ICEP) 237-240 2011年
-
Self-Assembly Technologies with High-Precision Chip Alignment and Fine-Pitch Microbump Bonding for Advanced Die-to-Wafer 3D Integration 査読有り
T. Fukushima, Y. Ohara, M. Murugesan, J. -C. Bea, K. -W. Lee, T. Tanaka, M. Koyanagi
2011 IEEE 61ST ELECTRONIC COMPONENTS AND TECHNOLOGY CONFERENCE (ECTC) 2050-2055 2011年
出版者・発行元:IEEEDOI: 10.1109/ECTC.2011.5898799
ISSN:0569-5503
-
3D LSI Technology and Reliability Issues 査読有り
T. Tanaka, J. Bea, M. Murugesan, K. Lee, T. Fukushima, M. Koyanagi
Proceedings of the 2011 Symposium on VLSI Technology 184-185 2011年
-
Thinning Process Induced Surface Defects in Ultra-Thin Si Wafer 査読有り
M. Murugesan, H. Nohira, C. Miyazaki, H. Shimamoto, H. Kobayashi, T. Fukushima, T. Tanaka, M. Koyanagi
Extended Abstract of International Conference on Solid State Devices and Materials (SSDM) 50-51 2011年
-
Evaluation of Reconfigurable Processor Test Chip for Dependable 3D Stacked Multicore Processor 査読有り
H. Hashimoto, T. Fukushima, K-W. Lee, T. Tanaka, Mitsumasa Koyanagi
Extended Abstract of International Conference on Solid State Devices and Materials (SSDM) 168-169 2011年
-
Development of Implantable Si Neural Probe with Stimulus and Recording Electrodes for Deep Brain Stimulation 査読有り
Yoshiho Yukita, Sanghoon Lee, Soichiro Kanno, Kangwook Lee, Takafumi Fukushima, Mitsumasa Koyanagi, Norihiro Katayama, Hajime Mushiake, Tetsu Tanaka
Extended Abstract of International Conference on Solid State Devices and Materials (SSDM) 408-409 2011年
-
Impacts of Microbump-Induced Local Bending Stress in 3D-LSI 査読有り
H. Kino, M. Murugesan, K.-W. Lee, J.-C. Bea, C. Miyazaki, H. Kobayashi, H. Shimamoto, T. Fukushima, T. Tanaka, M. Koyanagi
Extended Abstract of International Conference on Solid State Devices and Materials (SSDM) 785-786 2011年
-
Evaluation of Thermo-Mechanical Stress Induced by W-TSVs in 3D-LSI with W/Cu Hybrid TSVs 査読有り
H. Hashiguchi, M. Murugesan, J.C. Bea, K.W. Lee, T. Fukushima, H. Kobayashi, T. Tanaka, M. Koyanagi
Extended Abstract of International Conference on Solid State Devices and Materials (SSDM) 795-796 2011年
-
Fabrication tolerance evaluation of high efficient unidirectional optical coupler for though silicon photonic via in optoelectronic 3D-LSI 査読有り
Akihiro Noriki, Kang-Wook Lee, Jicheol Bea, Takafumi Fukushima, Tetsu Fanaka, Mitsumasa Koyanagi
2011 IEEE International 3D Systems Integration Conference, 3DIC 2011 821-822 2011年
DOI: 10.1109/3DIC.2012.6262957
-
A Block-Parallel SAR ADC for CMOS Image Sensor with 3-D Stacked Structure 査読有り
K. Kiyoyama, K-W. Lee, T. Fukushima, H. Naganuma, H. Kobayashi, T. Tanaka, M. Koyanagi
Extended Abstract of International Conference on Solid State Devices and Materials (SSDM) 1055-1056 2011年
-
Development of Pillar-Shaped Stimulus Electrode Array for High Efficient Stimulation of Fully Implantable Retinal Prosthesis 査読有り
Yoshitomo Watanabe, Chikashi Kigure, Kangwook Lee, Takafumi Fukushima, Mitsumasa Koyanagi, Tetsu Tanaka
Extended Abstract of International Conference on Solid State Devices and Materials (SSDM) 1097-1098 2011年
-
Performance of Low-Loss and Low-Cost Optoelectronic Module with Polynorbornene Waveguide for 10-Gbps Data Transmission 査読有り
Yuka Ito, Shinsuke Terada, Shinya Arai, Makoto Fujiwara, Tetsuya Mori, Koji Choki, Takafumi Fukushima, Mitsumasa Koyanagi
Extended Abstract of International Conference on Solid State Devices and Materials (SSDM) 1125-1126 2011年
-
Energy Band Engineering of Metal Nanodots for High Performance Nonvolatile Memory Application 査読有り
Yanli Pei, Tatsuro Hiraki, Toshiya Kojima, Takafumi Fukushima, Mitsumasa Koyanagi, Tetsu Tanaka
TECHNOLOGY EVOLUTION FOR SILICON NANO-ELECTRONICS 470 140-+ 2011年
出版者・発行元:TRANS TECH PUBLICATIONS LTDDOI: 10.4028/www.scientific.net/KEM.470.140
ISSN:1013-9826
-
Novel detachable bonding process with wettability control of bonding surface for versatile chip-level 3D integration 査読有り
Yuki Ohara, Lee Kangwook, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
2011 IEEE International 3D Systems Integration Conference, 3DIC 2011 2011年
DOI: 10.1109/3DIC.2012.6262950
-
Temporary bonding strength control for self-assembly-based 3D integration 査読有り
Takafumi Fukushima, Yuki Ohara, Jicheol Bea, Mariappan Murugesan, Kang-Wook Lee, Tetsu Tanaka, Mitsumasa Koyanagi
2011 IEEE International 3D Systems Integration Conference, 3DIC 2011 2011年
DOI: 10.1109/3DIC.2012.6262954
-
Fabrication tolerance evaluation of high efficient unidirectional optical coupler for though silicon photonic via in optoelectronic 3D-LSI 査読有り
Akihiro Noriki, Kang-Wook Lee, Jicheol Bea, Takafumi Fukushima, Tetsu Fanaka, Mitsumasa Koyanagi
2011 IEEE International 3D Systems Integration Conference, 3DIC 2011 2011年
DOI: 10.1109/3DIC.2012.6262957
-
A very low area ADC for 3-D stacked CMOS image processing system 査読有り
K. Kiyoyama, K. W. Lee, T. Fukushima, H. Naganuma, H. Kobayashi, T. Tanaka, M. Koyanagi
2011 IEEE International 3D Systems Integration Conference, 3DIC 2011 2011年
DOI: 10.1109/3DIC.2012.6262958
-
High-bandwidth data transmission of new transceiver module through optical interconnection 査読有り
Yuka Ito, Shinsuke Terada, Shinya Arai, Koji Choki, Takafumi Fukushima, Mitsumasa Koyangi
2011 IEEE International 3D Systems Integration Conference, 3DIC 2011 1-36 2011年
DOI: 10.1109/3DIC.2012.6263010
-
High density Cu-TSVs and reliability issues 査読有り
Murugesan Mariappan, Harufumi Kobayashi, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
2011 IEEE International 3D Systems Integration Conference, 3DIC 2011 2011年
DOI: 10.1109/3DIC.2012.6262969
-
W/Cu TSVs for 3D-LSI with minimum thermo-mechanical stress 査読有り
Mariappan Murugesan, Hideto Hashiguchi, Harufumi Kobayashi, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
2011 IEEE International 3D Systems Integration Conference, 3DIC 2011 2011年
DOI: 10.1109/3DIC.2012.6262970
-
High Reliable and Fine Size of 5-µm Diameter Backside Cu Through-Silicon Via(TSV)for High Reliability and High-End 3-D LSIs 査読有り
K.-W. Lee, J.-C. Bea, T. Fukushima, Y. Ohara, T. Tanaka, M. Koyanagi
Technical Digest of IEEE International 3D System Integration Conference (3DIC) 2011年
DOI: 10.1109/3DIC.2012.6262975
-
A Cavity Chip Interconnection Technology for Thick MEMS Chip Integration in MEMS-LSI Multichip Module 査読有り
Kang-Wook Lee, Soichiro Kanno, Kouji Kiyoyama, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
JOURNAL OF MICROELECTROMECHANICAL SYSTEMS 19 (6) 1284-1291 2010年12月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCDOI: 10.1109/JMEMS.2010.2082497
ISSN:1057-7157
eISSN:1941-0158
-
Effects of Postdeposition Annealing on Cobalt Nanodots Embedded in Silica for Nonvolatile Memory Application 査読有り
Yanli Pei, Toshiya Kojima, Tatsuro Hiraki, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
JAPANESE JOURNAL OF APPLIED PHYSICS 49 (6) 066503-1-066503-4 2010年6月
出版者・発行元:JAPAN SOC APPLIED PHYSICSISSN:0021-4922
-
Surface tension-driven chip self-assembly with load-free hydrogen fluoride-assisted direct bonding at room temperature for three-dimensional integrated circuits 査読有り
T. Fukushima, E. Iwata, T. Konno, J. -C. Bea, K. -W. Lee, T. Tanaka, M. Koyanagi
APPLIED PHYSICS LETTERS 96 (15) 154105-1-154105-3 2010年4月
出版者・発行元:AMER INST PHYSICSDOI: 10.1063/1.3328098
ISSN:0003-6951
-
Three-Dimensional Integration Technology Using Through-Si Via Based on Reconfigured Wafer-to-Wafer Bonding 査読有り
Mitsumasa Koyanagi, Takafumi Fukushima, Tetsu Tanaka
IEEE CUSTOM INTEGRATED CIRCUITS CONFERENCE 2010 2010年
出版者・発行元:IEEE -
セルフアセンブリを基盤としたウェーハレベル三次元集積化技術 査読有り
福島誉史, LEE Kang-Wook, 田中徹, 田中徹, 小柳光正
電子情報通信学会技術研究報告 109 (408(SDM2009 171-181)) 323-326 2010年
出版者・発行元:NoneISSN:0913-5685
-
Self-Assembly Technology for Advanced Die-to-Wafer 3D Integration 査読有り
Takafumi Fukushima, Kang-Wook Lee, Tetsu Tanaka, Mitsumasa Koyanagi
Technical Digest of the 2nd International IEEE Workshop on Low Temperature Bonding for 3D Integration (LTB-3D) 433-437 2010年
-
Impact of Remnant Stress/Strain in 3D Stacked Retinal Chip by Wafer Thinning and Bonding 査読有り
Hisashi Kino, Tatsuro Hiraki, Mariappan Murugesan, Jicheol Bea, Takafumi Fukushima, Mitsumasa Koyanagi, Testu Tanaka
Proceedings of the 12th International Symposium of Tohoku University Global COE Programme Global Nano-Biomedical Engineering Education and Research Network Centre, Nano^Biomedical Engineering in the East Asian-Pacific Rim Region 123-124 2010年
-
Electrical and Mechanical Characteristics of Si Double-sided Neural Probe for In-vivo Recording 査読有り
Sanghoon Lee, Risato Kobayashi, Soichiro Kanno, Kangwook Lee, Takafumi Fukushima, Mitsumasa Koyanagi, Tetsu Tanaka
Proceedings of the 12th International Symposium of Tohoku University Global COE Programme Global Nano-Biomedical Engineering Education and Research Network Centre, Nano^Biomedical Engineering in the East Asian-Pacific Rim Region 127-128 2010年
-
Highly Accurate Optical Stimulation of Neuron using Si Neural Probe with Optical Waveguide 査読有り
Risato Kobayashi, Sanghoon Lee, Soichiro Kanno, Yoshiho Yukita, Kangwook Lee, Takafumi Fukushima, Toru Ishizuka, Hajime Mushiake, Hiromu Yao, Mitsumasa Koyanagi, Tetsu Tanaka
Extended Abstract of International Conference on Solid State Devices and Materials (SSDM) 181-182 2010年
-
Development of Versatile Backside Via Technology for 3D System on Chip 査読有り
Y. Ohara, K.-W. Lee, T. Fukushima, T. Tanaka, M. Koyanagi
Extended Abstract of International Conference on Solid State Devices and Materials (SSDM) 237-238 2010年
-
Evaluation of Copper Diffusion in Thinned Wafer with Extrinsic Gettering for 3D-LSI by Capacitance-Time (C-t) measurement 査読有り
J.-C. Bea, K.-W. Lee, M. Murugesan, T. Fukushima, T. Tanaka, M. Koyanagi
Extended Abstract of International Conference on Solid State Devices and Materials (SSDM) 1196-1197 2010年
-
Through Silicon Photonic Via with Si core for Low loss and High Density Vertical Optical Interconnection in 3D-LSI 査読有り
Akihiro Noriki, Kang-Wook Lee, Jicheol Bea, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
Extended Abstract of International Conference on Solid State Devices and Materials (SSDM) 1198-1199 2010年
-
Self-Assembly with Metal Microbump-to-Microbump Bonding for Advanced Chip-to-Wafer 3D Integration 査読有り
Eiji Iwata, Yuki Ohara, Kang-Wook Lee, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
Extended Abstract of International Conference on Solid State Devices and Materials (SSDM) 1202-1203 2010年
-
Metal Micro-Bump Induced Stress in 3D-LSIs _a micro-Raman Study 査読有り
M. Murugesan, Y. Ohara, J.C Bea, K.W. Lee, T. Fukushima, T. Tanaka, M. Koyanagi
Extended Abstract of International Conference on Solid State Devices and Materials (SSDM) 1204-1205 2010年
-
Self-Assembly Technology for Reconfigured Wafer-to-Wafer 3D Integration 査読有り
T. Fukushima, E. Iwata, K. -W. Lee, T. Tanaka, M. Koyanagi
2010 PROCEEDINGS 60TH ELECTRONIC COMPONENTS AND TECHNOLOGY CONFERENCE (ECTC) 1050-1055 2010年
出版者・発行元:IEEEDOI: 10.1109/ECTC.2010.5490830
ISSN:0569-5503
-
Formation of Cobalt Nanodots Embedded in Silicon Oxide for Nonvolatile Memory Application 査読有り
Yanli Pei, Tatsuro Hiraki, Toshiya Kojima, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
Proceedings of the China Semiconductor Technology International Conference (CSTIC) 55-55 2010年
-
Impact of microbump induced stress in thinned 3D-LSIs after wafer bonding 査読有り
Mariappan Murugesan, Yuki Ohara, Jichoel Bea, Kang-Wook Lee, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
IEEE 3D System Integration Conference 2010, 3DIC 2010 2010年
DOI: 10.1109/3DIC.2010.5751432
-
Through Silicon photonic via (TSPV) with Si core for low loss and high-speed data transmission in opto-electronic 3-D LSI 査読有り
Akihiro Noriki, Kang-Wook Lee, Jichoel Bea, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
IEEE 3D System Integration Conference 2010, 3DIC 2010 2010年
DOI: 10.1109/3DIC.2010.5751435
-
エレクトロニクスの多様化を支える新デバイス技術-2020年を見据えて-4.極限集積化を目指すスーパチップ 査読有り
小柳光正, 福島誉史, LEE Kangwook, 田中徹
電子情報通信学会誌 93 (11) 918-922 2010年
ISSN:0913-5693
-
3D Hybrid Integration Technology for Opto-Electronic Hetero-Integrated Systems 査読有り
Kangwook Lee, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
PROCESSING, MATERIALS, AND INTEGRATION OF DAMASCENE AND 3D INTERCONNECTS 33 (12) 71-90 2010年
出版者・発行元:ELECTROCHEMICAL SOC INCDOI: 10.1149/1.3501035
ISSN:1938-5862
eISSN:1938-6737
-
Wafer Thinning, Bonding, and Interconnects Induced Local Strain/Stress in 3D-LSIs with Fine-Pitch High-Density Microbumps and Through-Si Vias 査読有り
M. Murugesan, H. Kino, H. Nohira, J. C. Bea, A. Horibe, F. Yamada, C. Miyazaki, H. Kobayashi, T. Fukushima, T. Tanaka, M. Koyanagi
2010 INTERNATIONAL ELECTRON DEVICES MEETING - TECHNICAL DIGEST 30-34 2010年
出版者・発行元:IEEEDOI: 10.1109/IEDM.2010.5703279
-
三次元積層型集積回路のための自己組織化チップ位置合せ技術 査読有り
岩田永司, 福島誉史, 大原悠希, LEE Kang-Wook, 田中徹, 田中徹, 小柳光正
電子情報通信学会論文誌 C J93-C (11) 493-502 2010年
ISSN:1345-2827
-
Evaluation of alignment accuracy on chip-to-wafer self-assembly and mechanism on the direct chip bonding at room temperature 査読有り
T. Fukushima, E. Iwata, J. Bea, M. Murugesan, K. W. Lee, T. Tanaka, M. Koyanagi
IEEE 3D System Integration Conference 2010, 3DIC 2010 2010年
DOI: 10.1109/3DIC.2010.5751436
-
A block-parallel signal processing system for CMOS image sensor with three-dimensional structure 査読有り
K. Kiyoyama, K. W. Lee, T. Fukushima, H. Naganuma, H. Kobayashi, T. Tanaka, M. Koyanagi
IEEE 3D System Integration Conference 2010, 3DIC 2010 2010年
DOI: 10.1109/3DIC.2010.5751479
-
Development of self-assembled 3-D integration technology and study of microbump and TSV induced stress in thinned chip/wafer 査読有り
T. Tanaka, T. Fukushima, K. -W. Lee, M. Murugesan, M. Koyanagi
2010 IEEE INTERNATIONAL SOI CONFERENCE 60-63 2010年
出版者・発行元:IEEEISSN:1078-621X
-
セルフアセンブリ法を用いた新しいヘテロインテグレーション技術 招待有り
福島誉史, 田中徹, 小柳光正
応用物理学会分科会 シリコンテクノロジー 「VLSIシンポジウム特集(先端CMOSデバイス・プロセス技術)」 115 17-22 2009年8月3日
-
Memory characteristics of metal-oxide-semiconductor capacitor with high density cobalt nanodots floating gate and HfO2 blocking dielectric 査読有り
Yanli Pei, Chengkuan Yin, Toshiya Kojima, Masahiko Nishijima, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
APPLIED PHYSICS LETTERS 95 (3) 033118-033120 2009年7月
出版者・発行元:AMER INST PHYSICSDOI: 10.1063/1.3189085
ISSN:0003-6951
-
Memory characteristics of metal-oxide-semiconductor capacitor with high density cobalt nanodots floating gate and HfO2 blocking dielectric 査読有り
Yanli Pei, Chengkuan Yin, Toshiya Kojima, Masahiko Nishijima, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
APPLIED PHYSICS LETTERS 95 (3) 033118-1-033118-3 2009年7月
出版者・発行元:AMER INST PHYSICSDOI: 10.1063/1.3189085
ISSN:0003-6951
-
MOSFET nonvolatile memory with a high-density tungsten nanodot floating gate formed by self-assembled nanodot deposition 査読有り
Y. Pei, C. Yin, J. C. Bea, H. Kino, T. Fukushima, T. Tanaka, M. Koyanagi
SEMICONDUCTOR SCIENCE AND TECHNOLOGY 24 (4) 2009年4月
出版者・発行元:IOP PUBLISHING LTDDOI: 10.1088/0268-1242/24/4/045022
ISSN:0268-1242
-
Optical Interposer Technology using Buried Vertical-Cavity Surface-Emitting Laser Chip and Tapered Through-Silicon Via for High-Speed Chip-to-Chip Optical Interconnection 査読有り
Akihiro Noriki, Makoto Fujiwara, Kang-Wook Lee, Woo-Cheol Jeong, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
JAPANESE JOURNAL OF APPLIED PHYSICS 48 (4) C113-1-C113-5 2009年4月
出版者・発行元:JAPAN SOC APPLIED PHYSICSISSN:0021-4922
-
Fundamental Study of Complementary Metal Oxide Semiconductor Image Sensor for Three-Dimensional Image Processing System 査読有り
Kenji Makita, Kouji Kiyoyarna, Takeaki Sugimura, Kang Wook Lee, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
JAPANESE JOURNAL OF APPLIED PHYSICS 48 (4) C077-1-C077-5 2009年4月
出版者・発行元:JAPAN SOCIETY APPLIED PHYSICSISSN:0021-4922
-
Characteristics of Copper Spiral Inductors Utilizing FePt Nanodot Films 査読有り
Woo-Cheol Jeong, Kouji Kiyoyama, Kang-Wook Lee, Akihiro Noriki, Mariappan Murugesan, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
JAPANESE JOURNAL OF APPLIED PHYSICS 48 (4) C157-1-C157-5 2009年4月
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
Development of Si Neural Probe with Microfluidic Channel Fabricated Using Wafer Direct Bonding 査読有り
Soichiro Kanno, Risato Kobayashi, Lee Sanghoon, Bea Jicheol, Takafumi Fukushima, Kazuhiro Sakamoto, Norihiro Katayama, Hajime Mushiake, Tetsu Tanaka, Mitsumasa Koyanagi
JAPANESE JOURNAL OF APPLIED PHYSICS 48 (4) C189-1-C189-4 2009年4月
出版者・発行元:JAPAN SOCIETY APPLIED PHYSICSISSN:0021-4922
-
Development of Si Double-Sided Microelectrode for Platform of Brain Signal Processing System 査読有り
Risato Kobayashi, Soichiro Kanno, Lee Sanghoon, Bea Jicheol, Takafumi Fukushima, Kazuhiro Sakamoto, Norihiro Katayama, Hajime Mushiake, Tetsu Tanaka, Mitsumasa Koyanagi
JAPANESE JOURNAL OF APPLIED PHYSICS 48 (4) C194-1-C194-5 2009年4月
出版者・発行元:JAPAN SOCIETY APPLIED PHYSICSISSN:0021-4922
-
Formation of high density tungsten nanodots embedded in silicon nitride for nonvolatile memory application 査読有り
Yanli Pei, Chengkuan Yin, Masahiko Nishijima, Toshiya Kojima, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
APPLIED PHYSICS LETTERS 94 (6) 063108-063110 2009年2月
出版者・発行元:AMER INST PHYSICSDOI: 10.1063/1.3081042
ISSN:0003-6951
-
訂正:三次元積層型チップのためのSi貫通ビア(TSV)形成技術 [エレクトロニクス実装学会誌 12(2): 104-109 (2009)]
福島 誉史, 田中 徹, 小柳 光正
エレクトロニクス実装学会誌 12 (3) 262-262 2009年
出版者・発行元:The Japan Institute of Electronics PackagingDOI: 10.5104/jiep.12.262
ISSN:1343-9677
-
Three-Dimensional Integration Technology Based on Reconfigured Wafer-to-Wafer and Multichip-to-Wafer Stacking Using Self-Assembly Method 査読有り
Takafumi Fukushima, Eiji Iwata, Yuki Ohara, Akihiro Noriki, Kiyoshi Inamura, Kang-Wook Lee, Jicheol Bea, Tetsu Tanaka, Mitsumasa Koyanagi
2009 IEEE INTERNATIONAL ELECTRON DEVICES MEETING 323-326 2009年
出版者・発行元:IEEEISSN:2380-9248
-
Impact of remnant stress/strain and metal contamination in 3D-LSIs with through-Si vias fabricated by wafer thinning and bonding 査読有り
M. Murugesan, J. C. Bea, H. Kino, Y. Ohara, T. Kojima, A. Noriki, K. W. Lee, K. Kiyoyama, T. Fukushima, H. Nohira, T. Hattori, E. Ikenaga, T. Tanaka, M. Koyanag
Technical Digest - International Electron Devices Meeting, IEDM 14.5.4 2009年
DOI: 10.1109/IEDM.2009.5424348
ISSN:0163-1918
-
3D stacked ICs using Cu TSVs and Die to Wafer Hybrid Collective bonding 査読有り
Takafumi Fukushima, Eiji Iwata, Yuki Ohara, Akihiro Noriki, Kiyoshi Inamura, Kang-Wook Lee, Jicheol Bea, Tetsu Tanaka, Mitsumasa Koyanagi
Technical Digest - International Electron Devices Meeting, IEDM 14.2.4 2009年
DOI: 10.1109/IEDM.2009.5424351
ISSN:0163-1918
-
Three-Dimensional Integration Technology and Integrated Systems 査読有り
Mitsumasa Koyanagi, Takafumi Fukushima, Tetsu Tanaka
PROCEEDINGS OF THE ASP-DAC 2009: ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE 2009 409-415 2009年
出版者・発行元:IEEEISSN:2153-6961
-
Fabrication of Multichannel Neural Microelectrodes with Microfluidic Channels Based on Wafer Bonding Technology 査読有り
R. Kobayashi, S. Kann, T. Fukushima, T. Tanaka, M. Koyanagi
13TH INTERNATIONAL CONFERENCE ON BIOMEDICAL ENGINEERING, VOLS 1-3 23 (1-3) 2258-+ 2009年
出版者・発行元:SPRINGERISSN:1680-0737
-
Development of A Silicon Neural Probe for An Intelligent Silicon Neural Probe System
Risato Kobayashi, Lee Sanghoon, Soichiro Kanno, Bea Jicheol, Takafumi Fukushima, Kazuhiro Sakamoto, Norihiro Katayama, Hajime Mushiake, Tetsu Tanaka, Mitsumasa Koyanagi
Nano-Biomedical Engineering 2009 297-308 2009年
-
Electrical characterization of MOS memory devices with self-assembled tungsten nano-dots dispersed in silicon nitride 査読有り
Y. Pei, C. Yin, M. Nishijima, T. Kojima, H. Nohira, T. Fukushima, T. Tanaka, M. Koyanagi
ECS Transactions 18 (1) 33-37 2009年
DOI: 10.1149/1.3096423
ISSN:1938-5862 1938-6737
-
Electrical Characterization of MOS Memory Devices with Self-assembled Tungsten Nano-dots Dispersed in Silicon Nitride 査読有り
Y. Pei, C. Yin, M. Nishijima, T. Kojima, H. Nohira, T. Fukushima, T. Tanaka, M. Koyanagi
Proceedings of the International Semiconductor Technology Conference/China Semiconductor Technology International Conference (ISTC/CSTIC) 85-89 2009年
-
Super Hetero-Integration Technology for LSI /MEMS Integration 査読有り
M. Koyanagi, K.-W. Lee, T. Fukushima, T. Tanaka
Proceedings of the International Conference on Electronics Packaging (ICEP) 589-595 2009年
-
Development of Double-sided Si Neural Probe with Microfluidic Channels Using Wafer Direct Bonding Technique 査読有り
R. Kobayashi, S. Kanno, S. Lee, T. Fukushima, K. Sakamoto, Y. Matsuzaka, N. Katayama, H. Mushiake, M. Koyanagi, T. Tanaka
2009 4TH INTERNATIONAL IEEE/EMBS CONFERENCE ON NEURAL ENGINEERING 96-+ 2009年
出版者・発行元:IEEEISSN:1948-3546
-
A Simple Device Allowing Silicon Microelectrode Insertion for Chronic Neural Recording in Primates 査読有り
Kazuhiro Sakamoto, Yoshia Matsuzaka, Tamotsu Suenaga, Hiroshi Watanabe, Risato Kobayashi, Takafumi Fukushima, Norihiro Katayama, Tetsu Tanaka, Mitsumasa Koyanagi, Hajime Mushiake
2009 4TH INTERNATIONAL IEEE/EMBS CONFERENCE ON NEURAL ENGINEERING 104-+ 2009年
出版者・発行元:IEEEISSN:1948-3546
-
Cu Lateral Interconnects Formed Between 100-mu m-Thick Self-Assembled Chips on Flexible Substrates 査読有り
M. Murugesan, J. -C. Bea, T. Fukushima, T. Konno, K. Kiyoyama, W. -C. Jeong, H. Kino, A. Noriki, K. -W. Lee, T. Tanaka, M. Koyanagi
2009 IEEE 59TH ELECTRONIC COMPONENTS AND TECHNOLOGY CONFERENCE, VOLS 1-4 1496-1501 2009年
出版者・発行元:IEEEDOI: 10.1109/ECTC.2009.5074210
-
Development of EEB (Electroplated Evaporation Bumping) Technology for Fine Pitch and Low Resistance Cu/Sn Micro-Bumps 査読有り
Y. Ohara, A. Noriki, E. Iwata, T. Hiraki, K.-W. Lee, M. Murugesan, J.-C. Bea, T. Fukushima, T. Tanaka, M. Koyanagi
Extended Abstract of International Conference on Solid State Devices and Materials (SSDM) 86-87 2009年
-
High-Aspect-Ratio Fine Cu Sidewall Interconnection over Chip Edge with Tapered Polymer for MEMS-LSI Multi-Chip Module 査読有り
A. Noriki, Y. Kaiho, E. Iwata, Y. Ohara, M. Murugesan, K.-W. Lee, J.-C. Bea, T. Fukushima, T. Tanaka, M. Koyanagi
Extended Abstract of International Conference on Solid State Devices and Materials (SSDM) 88-89 2009年
-
Evaluation of Thin LSI Wafers by Capacitance-Time (C-t) Measurement for the Process Characterization of Three-Dimensional (3D) Integration 査読有り
J.-C. Bea, M. Murugesan, Y. Ohara, A. Noriki, H. Kino, K.-W. Lee, T. Fukushima, T. Tanaka, M. Koyanagi
Extended Abstracts of International Conference on Solid State Devices and Materials (SSDM) 370-371 2009年
-
In vivo Neural Signal Recording using Double-sided Si Neural Probe 査読有り
S. Lee, R. Kobayashi, S. Kanno, K. Lee, T. Fukushima, K. Sakamoto, Y. Matsuzaka, N. Katayama, H. Mushiake, M. Koyanagi, T. Tanaka
Extended Abstract of International Conference on Solid State Devices and Materials (SSDM) 691-692 2009年
-
Heterogeneous Integration Technology for MEMS-LSI Multi-Chip Module 査読有り
K-W Lee, S. Kanno, Y. Ohara, K. Kiyoyama, J-C Bea, T. Fukushima, T. Tanaka, M. Koyanagi
2009 IEEE INTERNATIONAL CONFERENCE ON 3D SYSTEMS INTEGRATION 6-+ 2009年
出版者・発行元:IEEEISSN:2164-0157
-
A Parallel ADC for High-Speed CMOS Image Processing System with 3D Structure 査読有り
K. Kiyoyama, Y. Ohara, K-W Lee, Y. Yang, T. Fukushima, T. Tanaka, M. Koyanagi
2009 IEEE INTERNATIONAL CONFERENCE ON 3D SYSTEMS INTEGRATION 101-+ 2009年
出版者・発行元:IEEEISSN:2164-0157
-
Micro-Raman Spectroscopy Analysis and Capacitance-Time (C-t) Measurement of Thinned Silicon Substrates for 3D Integration 査読有り
J. -C. Bea, M. Murugesan, Y. Ohara, A. Noriki, H. Kino, K. -W Lee, T. Fukushima, T. Tanaka, M. Koyanagi
2009 IEEE INTERNATIONAL CONFERENCE ON 3D SYSTEMS INTEGRATION 189-193 2009年
出版者・発行元:IEEEISSN:2164-0157
-
10 µm Fine Pitch Cu/Sn Micro-Bumps for 3-D Super-Chip Stack 査読有り
Yuki Ohara, Akihiro Noriki, Katsuyuki Sakuma, Kang-Wook Lee, Mariappan Murugesan, Jichoel Bea, Fumiaki Yamada, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
Technical Digest of the IEEE International 3D System Integration Conference 2009 (3DIC) 389-+ 2009年
出版者・発行元:NoneISSN:2164-0157
-
Development of a New Self-Assembled Die Bonder to Three-Dimensionally Stack Known Good Dies in Batch 査読有り
Takafumi Fukushima, Eiji Iwata, Tetsu Tanaka, Mitsumasa Koyanagi
2009 IEEE INTERNATIONAL CONFERENCE ON 3D SYSTEMS INTEGRATION 434-437 2009年
出版者・発行元:IEEEISSN:2164-0157
-
Three-Dimensional Integration Technology Based on Self-Assembled Chip-to-Wafer Stacking 査読有り
Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
MATERIALS AND TECHNOLOGIES FOR 3-D INTEGRATION 1112 121-130 2009年
出版者・発行元:MATERIALS RESEARCH SOCIETYISSN:0272-9172
-
Ultra Low Power Vertical MOS Devices for Fully Implantable Rtinal Prosthesis 査読有り
H. Kino, T. Hiraki, J-C. Bea, T. Fukushima, M. Koyanagi, T. Tanaka
3rd East Asian Pacific Student Workshop on Nano-Biomedical Engineering 112-113 2009年
-
Cu filling characteristics in through-Si via holes by electroless plating with addition of inhibitors 査読有り
F. Inoue, M. Koyanagi, T. Fukushima, K. Yamamoto, S. Tanaka, Z. Wang, S. Shingubara
ECS Transactions 16 (22) 27-32 2009年
DOI: 10.1149/1.3115647
ISSN:1938-5862 1938-6737
-
Impact of Remnant Stress/Strain and Metal Contamination in 3D-LSIs with Through-Si Vias Fabricated by Wafer Thinning and Bonding 査読有り
M. Murugesan, J. C. Bea, H. Kino, Y. Ohara, T. Kojima, A. Noriki, K. W. Lee, K. Kiyoyama, T. Fukushima, H. Nohira, T. Hattori, E. Ikenaga, T. Tanaka, M. Koyanagi
2009 IEEE INTERNATIONAL ELECTRON DEVICES MEETING 335-+ 2009年
出版者・発行元:IEEEDOI: 10.1109/IEDM.2009.5424348
ISSN:2380-9248
-
3D Heterogeneous Opto-Electronic Integration Technology for System-on-Silicon (SOS) 査読有り
K-W Lee, A. Noriki, K. Kiyoyama, S. Kanno, R. Kobayashi, W-C Jeong, J-C Bea, T. Fukushima, T. Tanaka, M. Koyanagi
2009 IEEE INTERNATIONAL ELECTRON DEVICES MEETING 495-498 2009年
出版者・発行元:IEEEDOI: 10.1109/IEDM.2009.5424305
-
3D System Integration Technology and 3D Systems 査読有り
Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
Conference Proceedings Advanced Metallization Conference 2008 (AMC 2008) 479-485 2009年1月
-
High-Density Through Silicon Vias for 3-D LSIs 招待有り 査読有り
Mitsumasa Koyanagi, Takafumi Fukushima, Tetsu Tanaka
PROCEEDINGS OF THE IEEE 97 (1) 49-59 2009年1月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCDOI: 10.1109/JPROC.2008.2007463
ISSN:0018-9219
eISSN:1558-2256
-
Three-Dimensional Integration Technology Based on Self-Assembled Chip-to-Wafer Stacking 招待有り 査読有り
Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
MATERIALS AND TECHNOLOGIES FOR 3-D INTEGRATION 1112 121-130 2009年
出版者・発行元:MATERIALS RESEARCH SOCIETYISSN:0272-9172
-
三次元実装材料 三次元積層型チップのためのSi貫通ビア(TSV)形成技術 招待有り 査読有り
福島誉史, 田中徹, 田中徹, 小柳光正
エレクトロニクス実装学会誌 12 (2) 104-109 2009年
出版者・発行元:NoneDOI: 10.5104/jiep.12.104
ISSN:1343-9677
-
Cu filling characteristics in through-Si via holes by electroless plating with addition of inhibitors 査読有り
F. Inoue, M. Koyanagi, T. Fukushima, K. Yamamoto, S. Tanaka, Z. Wang, S. Shingubara
ECS Transactions 16 (22) 27-32 2009年
DOI: 10.1149/1.3115647
ISSN:1938-5862 1938-6737
-
Perfect Conformal Deposition of Electroless Cu for High Aspect Ratio Through-Si Vias 査読有り
F. Inoue, Y. Harada, M. Koyanagi, T. Fukushima, K. Yamamoto, S. Tanaka, Z. Wang, S. Shingubara
ELECTROCHEMICAL AND SOLID STATE LETTERS 12 (10) H381-H384 2009年
出版者・発行元:ELECTROCHEMICAL SOC INCDOI: 10.1149/1.3193535
ISSN:1099-0062
-
Investigation of the effect of in situ annealing of FePt nanodots under high vacuum on the chemical states of Fe and Pt by x-ray photoelectron spectroscopy 査読有り
M. Murugesan, J. C. Bea, C. -K. Yin, H. Nohira, E. Ikenaga, T. Hattori, M. Nishijima, T. Fukushima, T. Tanaka, M. Miyao, M. Koyanagi
JOURNAL OF APPLIED PHYSICS 104 (7) 074316-1-074316-5 2008年10月
出版者・発行元:AMER INST PHYSICSDOI: 10.1063/1.2973665
ISSN:0021-8979
-
Memory characteristics of self-assembled tungsten nanodots dispersed in silicon nitride 査読有り
Yanli Pei, Masahiko Nishijima, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
APPLIED PHYSICS LETTERS 93 (11) 113115-113117 2008年9月
出版者・発行元:AMER INST PHYSICSDOI: 10.1063/1.2986409
ISSN:0003-6951
eISSN:1077-3118
-
Chip Self-Assembly Technique for 3D LSI Fabrication
T. Fukushima, T. Konno, T. Tanaka, M. Koyanagi
Technical Digest of the International 3D System Integration Conference (3D-SIC) 2008 207-216 2008年5月12日
-
Low-loss optical interposer with recessed vertical-cavity surface-emitting laser diode and photodiode chips into Si substrate 査読有り
Makoto Fujiwara, Shinsuke Terada, Yoji Shirato, Hiroshi Owari, Kei Watanabe, Mutsuhiro Matsuyama, Keizo Takahama, Tetsuya Mori, Kenji Miyao, Koji Choki, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
JAPANESE JOURNAL OF APPLIED PHYSICS 47 (4) 2936-2940 2008年4月
出版者・発行元:IOP PUBLISHING LTDISSN:0021-4922
eISSN:1347-4065
-
Tungsten through-silicon via technology for three-dimensional LSIs 査読有り
Hirokazu Kikuchi, Yusuke Yamada, Atif Mossad Ali, Jun Liang, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
JAPANESE JOURNAL OF APPLIED PHYSICS 47 (4) 2801-2806 2008年4月
出版者・発行元:JAPAN SOCIETY APPLIED PHYSICSDOI: 10.1143/JJAP.47.2801
ISSN:0021-4922
-
New reconfigurable memory architecture for parallel image-processing LSI with three-dimensional structure 査読有り
Shigeo Kodama, Daijirou Amano, Takeaki Sugimura, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
JAPANESE JOURNAL OF APPLIED PHYSICS 47 (4) 2774-2778 2008年4月
出版者・発行元:JAPAN SOCIETY APPLIED PHYSICSDOI: 10.1143/JJAP.47.2774
ISSN:0021-4922
-
Power supply system using electromagnetic induction for three-dimensionally stacked retinal prosthesis chip 査読有り
Ken Komiya, Risato Kobayashi, Takafumi Kobayashi, Keigo Sato, Takafumi Fukushima, Hiroshi Tomita, Hiroyuki Kurino, Tetsu Tanaka, Makoto Tamai, Mitsumasa Koyanagi
JAPANESE JOURNAL OF APPLIED PHYSICS 47 (4) 3244-3247 2008年4月
出版者・発行元:JAPAN SOCIETY APPLIED PHYSICSDOI: 10.1143/JJAP.47.3244
ISSN:0021-4922
-
Electrical Characterization of Metal–Oxide–Semiconductor Memory Devices with High-Density Self-Assembled Tungsten Nanodots 査読有り
Yan-Li PEI, Takafumi FUKUSHIMA, Tetsu TANAKA, Mitsumasa KOYANAGI
Japanese Journal of Applied Physics 47 (4) 2680-2683 2008年4月
出版者・発行元:NoneDOI: 10.1143/JJAP.47.2680
ISSN:0021-4922
-
A New Nano-System with Three-Dimensional Structure for Real Time Parallel Image Processing
Mitsumasa Koyanagi, Takafumi Fukushima
Proceeding of the 5th International Conference on Mechanical Science based on Nanotechnology 117-118 2008年3月6日
-
3D system integration technology and 3D systems 招待有り
Takafumi FUKUSHIMA Tetsu TANAKA, Mitsumasa KOYANAGI
Abstract Book: European Workshop Materials for Advanced Metallization (MAM) 2008 37-38 2008年3月2日
-
自己組織化ウェーハ張り合せによる三次元集積化技術 招待有り
福島誉史, 田中徹, 小柳光正
応用物理学会分科会 シリコンテクノロジー 「多層配線」特集号 (99) 34-37 2008年2月8日
-
Three-Dimensional Super-Chip Integration Technology Using Self-Assembly Technique 査読有り
Mitsumasa Koyanagi, Takafumi Fukushima, Tetsu Tanaka
2008 IEEE SILICON NANOELECTRONICS WORKSHOP 23-24 2008年
出版者・発行元:IEEE -
Three-dimensional integration technology using self-assembly technique and super-chip integration 査読有り
Mitsumasa Koyanagi, Takafumi Fukushima, Tetsu Tanaka
PROCEEDINGS OF THE IEEE 2008 INTERNATIONAL INTERCONNECT TECHNOLOGY CONFERENCE 10-12 2008年
出版者・発行元:IEEEISSN:2380-632X
eISSN:2380-6338
-
New Three-Dimensional Integration Technology Using Reconfigured Wafers 査読有り
Mitsumasa Koyanagi, Takafumi Fukushima, Tetsu Tanaka
2008 9TH INTERNATIONAL CONFERENCE ON SOLID-STATE AND INTEGRATED-CIRCUIT TECHNOLOGY, VOLS 1-4 1180-1183 2008年
出版者・発行元:IEEE -
A Closed-loop Power Control Function for Bio-implantable devices 査読有り
Kouji Kiyoyama, Yoshito Tanaka, Mashahiro Onoda, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
2008 IEEE ASIAN SOLID-STATE CIRCUITS CONFERENCE 321-+ 2008年
出版者・発行元:IEEE -
受光素子と刺激電流生成回路を有する完全埋込型人工網膜チップ 査読有り
田中徹, 福島誉史, 小柳光正
映像情報メディア学会技術報告 32 (19(IST2008 8-18/CE2008 21-31)) 1015-+ 2008年
出版者・発行元:NoneDOI: 10.1109/IEDM.2007.4419127
ISSN:1342-6893
-
Multichip self-assembly technique on flexible polymeric substrate 査読有り
T. Fukushima, T. Konno, T. Tanaka, M. Koyanagi
58TH ELECTRONIC COMPONENTS & TECHNOLOGY CONFERENCE, PROCEEDINGS 1532-1537 2008年
出版者・発行元:IEEEDOI: 10.1109/ECTC.2008.4550179
ISSN:0569-5503
-
A Novel SPRAM (SPin-transfer torque RAM)-based Reconfigurable Logic Block for 3D-Stacked reconfigurable Spin Processor 査読有り
M. Sekikawa, K. Kiyoyama, H. Hasegawa, K. Miura, T. Fukushima, S. Ikeda, T. Tanaka, H. Ohno, M. Koyanagi
IEEE INTERNATIONAL ELECTRON DEVICES MEETING 2008, TECHNICAL DIGEST 935-+ 2008年
出版者・発行元:IEEEDOI: 10.1109/IEDM.2008.4796645
-
New Heterogeneous Multi-Chip Module Integration Technology Using Self-Assembly Method 査読有り
T. Fukushima, T. Konno, K. Kiyoyama, M. Murugesan, K. Sato, W. -C. Jeong, Y. Ohara, A. Norki, S. Kanno, Y. Kaiho, H. Kino, K. Makita, R. Kobayashi, C. -K. Yin, K. Inamura, K. -W. Lee, J. -C. Bea, T. Tanaka, M. Koyanagi
IEEE INTERNATIONAL ELECTRON DEVICES MEETING 2008, TECHNICAL DIGEST 499-502 2008年
出版者・発行元:IEEEDOI: 10.1109/IEDM.2008.4796735
-
Evaluation of platinum-black stimulus electrode array for electrical stimulation of retinal cells in retinal prosthesis system 査読有り
Taiichiro Watanabe, Risato Kobayashi, Ken Komiya, Takafumi Fukushima, Hiroshi Tomita, Eriko Sugano, Hiroyuki Kurino, Tetsu Tanaka, Makoto Tamai, Mitsumasa Koyanagi
JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS 46 (4B) 2785-2791 2007年4月
出版者・発行元:INST PURE APPLIED PHYSICSDOI: 10.1143/JJAP.46.2785
ISSN:0021-4922
-
Novel optical/electrical printed circuit board with polynorbornene optical waveguide 査読有り
Makoto Fujiwara, Yoji Shirato, Hiroshi Owari, Kei Watanabe, Mutsuhiro Matsuyama, Keizo Takahama, Tetsuya Mori, Kenji Miyao, Koji Choki, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS 46 (4B) 2395-2400 2007年4月
出版者・発行元:INST PURE APPLIED PHYSICSISSN:0021-4922
-
Low power spin-transfer magnetoresistive random access memory writing scheme with selective word line bootstrap 査読有り
Takeaki Sugimura, Takeshi Sakaguchi, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS 46 (4B) 2226-2230 2007年4月
出版者・発行元:JAPAN SOC APPLIED PHYSICSDOI: 10.1143/JJAP.46.2226
ISSN:0021-4922
-
New magnetic nanodot memory with FePt nanodots 査読有り
Cheng-Kuan Yin, Mariappan Murugesan, Ji-Chel Bea, Mikihiko Oogane, Takafumi Fukushima, Tetsu Tanaka, Shozo Kono, Seiji Samukawa, Mitsumasa Koyanagi
JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS 46 (4B) 2167-2171 2007年4月
出版者・発行元:INST PURE APPLIED PHYSICSDOI: 10.1143/JJAP.46.2167
ISSN:0021-4922
-
Fully implantable retinal prosthesis chip with photodetector and stimulus current generator
T. Tanaka, K. Sato, K. Komiya, T. Kobayashi, T. Watanabe, T. Fukushima, H. Tomita, H. Kurino, M. Tamai, M. Koyanagi
Technical Digest - International Electron Devices Meeting, IEDM 1015-1018 2007年
DOI: 10.1109/IEDM.2007.4419127
ISSN:0163-1918
-
New three-dimensional integration technology to achieve a super chip 査読有り
Mitsumasa Koyanagi, Takafumi Fukushima, Tetsu Tanaka
ICSICT-2006: 2006 8th International Conference on Solid-State and Integrated Circuit Technology, Proceedings 318-321 2007年
DOI: 10.1109/ICSICT.2006.306217
-
High performance polynorbornene optical waveguide for Opto-Electric interconnections 査読有り
M. Fujiwara, Y. Shirato, H. Owari, K. Watanabe, M. Matsuyama, K. Takahama, T. Mori, K. Miyao, K. Choki, T. Fukushima, T. Tanaka, M. Koyanagi
6TH INTERNATIONAL IEEE CONFERENCE ON POLYMERS AND ADHESIVES IN MICROELECTRONICS AND PHOTONICS, PROCEEDINGS 2007 193-+ 2007年
出版者・発行元:IEEE -
Magnetic characteristics of FePt nanodots formed by a self-assembled nanodot deposition method 査読有り
C. K. Yin, H. Choi, J. C. Bea, M. Murugesan, J. H. Yoo, T. Fukushima, Y. Murakami, T. Tanaka, D. Shindo, M. Miyao, M. Koyanagi
2007 NSTI Nanotechnology Conference and Trade Show - NSTI Nanotech 2007, Technical Proceedings 4 401-404 2007年
-
Self-assembly process for chip-to-wafer three-dimensional integration 査読有り
T. Fulcushima, Y. Yamada, H. Kikuchi, T. Tanaka, M. Koyanagi
57TH ELECTRONIC COMPONENTS & TECHNOLOGY CONFERENCE, 2007 PROCEEDINGS 836-+ 2007年
出版者・発行元:IEEEISSN:0569-5503
-
New three-dimensional integration technology based on reconfigured wafer-on-wafer bonding technique 査読有り
Takafumi Fukushima, Hirokazu Kikuchi, Yusuke Yamada, Takayuki Konno, Jun Liang, Keiichi Sasaki, Kiyoshi Inamura, Tetsu Tanaka, Mitsumasa Koyanagi
2007 IEEE INTERNATIONAL ELECTRON DEVICES MEETING, VOLS 1 AND 2 985-988 2007年
出版者・発行元:IEEEDOI: 10.1109/IEDM.2007.4419119
ISSN:2380-9248
-
Analysis of GOI-MOSFET with high-k gate dielectric and metal gate fabricated by Ge condensation technique 査読有り
Mungi Park, Jicheol Bea, Takafumi Fukushima, Mitsumasa Koyanagi
SURFACE AND INTERFACE ANALYSIS 38 (12-13) 1720-1724 2006年12月
出版者・発行元:JOHN WILEY & SONS LTDDOI: 10.1002/sia.2434
ISSN:0142-2421
-
Three-dimensional integration technology based on wafer bonding with vertical buried interconnections 招待有り 査読有り
Mitsumasa Koyanagi, Tomonori Nakamura, Yuusuke Yamada, Hirokazu Kikuchi, Takafumi Fukushima, Tetsu Tanaka, Hiroyuki Kurino
IEEE TRANSACTIONS ON ELECTRON DEVICES 53 (11) 2799-2808 2006年11月
出版者・発行元:IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INCISSN:0018-9383
eISSN:1557-9646
-
Development of Si Long Microprobe (SiLM) for Platform of Intelligent Neural Implant Microsystem 査読有り
Risato Kobayashi, Taiichiro Watanabe, Ken Komiya, Takafumi Fukushima, Kazuhiro Sakamoto, Hiroyuki Kurino, Tetsu Tanaka, Norihiro Katayama, Hajime Mushiake, Mitsumasa Koyanagi
International Conference on Solid State Devices and Materials 898-899 2006年9月12日
-
Magnetic properties of FePt nanodots formed by a self-assembled nanodot deposition method 査読有り
C. K. Yin, T. Fukushima, T. Tanaka, M. Koyanagi, J. C. Bea, H. Choi, M. Nishijima, M. Miyao
APPLIED PHYSICS LETTERS 89 (6) 063109-1-063109-3 2006年8月
出版者・発行元:AMER INST PHYSICSDOI: 10.1063/1.2335588
ISSN:0003-6951
-
Multichip shared memory module with optical interconnection for parallel-processor system 査読有り
Hirofumi Kuribara, Hiroyuki Hashimoto, Takafumi Fukushima, Mitsumasa Koyanagi
JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS 45 (4B) 3504-3509 2006年4月
出版者・発行元:JAPAN SOC APPLIED PHYSICSDOI: 10.1143/JJAP.45.3504
ISSN:0021-4922
-
Low-power and high-sensitivity magnetoresistive random access memory sensing scheme with body-biased preamplifier 査読有り
Takeaki Sugimura, Jun Deguchi, Hoon Choi, Takeshi Sakaguchi, Hyuckjae Oh, Takafumi Fukushima, Mitsumasa Koyanagi
JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS 45 (4B) 3321-3325 2006年4月
出版者・発行元:JAPAN SOC APPLIED PHYSICSDOI: 10.1143/JJAP.45.3321
ISSN:0021-4922
-
Quantitative derivation and evaluation of wire length distribution in three-dimensional integrated circuits using simulated quenching 査読有り
Jun Deguchi, Takeaki Sugimura, Yoshihiro Nakatani, Takafumi Fukushima, Mitsumasa Koyanagi
JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS 45 (4B) 3260-3265 2006年4月
出版者・発行元:JAPAN SOC APPLIED PHYSICSDOI: 10.1143/JJAP.45.3260
ISSN:0021-4922
-
Fabrication and evaluation of magnetic tunnel junction with MgO tunneling barrier 査読有り
Takeshi Sakaguchi Hoon Choi, Ahn Sung-Jin, Takeaki Sugimura, Mungi Park, Milcihiko Oogane, Hyuckjae Oh, Jun Hayakawa, Shoji Ikeda, Young Min Lee, Takafumi Fukushima, Terunobu Miyazaki, Hideo Ohno, Mitsumasa Koyanagi
JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS 45 (4B) 3228-3232 2006年4月
出版者・発行元:JAPAN SOC APPLIED PHYSICSDOI: 10.1143/JJAP.45.3228
ISSN:0021-4922
-
New magnetic flash memory with FePt magnetic floating gate 査読有り
CK Yin, JC Bea, YG Hong, T Fukushima, M Miyao, K Natori, M Koyanagi
JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS 45 (4B) 3217-3221 2006年4月
出版者・発行元:INST PURE APPLIED PHYSICSDOI: 10.1143/JJAP.45.3217
ISSN:0021-4922
-
Characteristics of silicon-on-low k insulator metal oxide semiconductor field effect transistor with metal back gate 査読有り
Y Yamada, H Oh, T Sakaguchi, T Fukushima, M Koyanagi
JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS 45 (4B) 3040-3044 2006年4月
出版者・発行元:INST PURE APPLIED PHYSICSISSN:0021-4922
-
Deep-trench etching for chip-to-chip three-dimensional integration technology 査読有り
H Kikuchi, Y Yamada, H Kuima, T Fukushima, M Koyanagi
JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS 45 (4B) 3024-3029 2006年4月
出版者・発行元:INST PURE APPLIED PHYSICSDOI: 10.1143/JJAP.45.3024
ISSN:0021-4922
-
Nickel germanide formation on condensed Ge layer for Ge-on-insulator device application 査読有り
H Choi, M Park, T Fukushima, M Koyanagi
JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS 45 (4B) 2984-2986 2006年4月
出版者・発行元:INST PURE APPLIED PHYSICSDOI: 10.1143/JJAP.45.2984
ISSN:0021-4922
-
Effects of ion implantation damage on elevated source/drain formation for ultrathin body silicon on insulator metal oxide semiconductor field-effect transistor 査読有り
H Oh, T Sakaguchi, T Fukushima, M Koyanagi
JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS 45 (4B) 2965-2969 2006年4月
出版者・発行元:INST PURE APPLIED PHYSICSDOI: 10.1143/JJAP.45.2965
ISSN:0021-4922
-
New three-dimensional integration technology using chip-to-wafer bonding to achieve ultimate super-chip integration 査読有り
T Fukushima, Y Yamada, H Kikuchi, M Koyanagi
JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS 45 (4B) 3030-3035 2006年4月
出版者・発行元:INST PURE APPLIED PHYSICSDOI: 10.1143/JJAP.45.3030
ISSN:0021-4922
-
Research and development of transistor structure in nano-scale region 査読有り
M. Koyanagi, Y. Yamada, M. Park, T. Fukushima, T. Tanaka
2006 INTERNATIONAL WORKSHOP ON NANO CMOS, PROCEEDINGS 34-37 2006年
出版者・発行元:IEEE -
Development of new three-dimensional integration technology for retinal prosthesis 査読有り
Yusuke Yamada, Jun Deguchi, Taiichiro Watanabe, Takafumi Fukushima, Hiroyuki Kurino, Tetsu Tanaka, Mitsumasa Koyanagi
FUTURE MEDICAL ENGINEERING BASED ON BIONANOTECHNOLOGY, PROCEEDINGS 613-+ 2006年
出版者・発行元:WORLD SCIENTIFIC PUBL CO PTE LTDDOI: 10.1142/9781860948800_0067
-
Neuromorphic analog circuits for three-dimensionally stacked vision chip 査読有り
Jun Liang, Yoshihiro Nakagawa, Jun Deguchi, Jeoung-Chill Shim, Takafumi Fukushima, Hiroyuki Kurino, Tetsu Tanaka, Mitsumasa Koyanagi
FUTURE MEDICAL ENGINEERING BASED ON BIONANOTECHNOLOGY, PROCEEDINGS 455-+ 2006年
出版者・発行元:WORLD SCIENTIFIC PUBL CO PTE LTDDOI: 10.1142/9781860948800_0049
-
Chip-to-wafer three-dimensional integration technology for retinal prosthesis chips 査読有り
Hircrazu Kikuchi, Yusuke Yamada, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi
FUTURE MEDICAL ENGINEERING BASED ON BIONANOTECHNOLOGY, PROCEEDINGS 385-+ 2006年
出版者・発行元:WORLD SCIENTIFIC PUBL CO PTE LTD -
Novel retinal prosthesis system with three dimensionally stacked LSI chip 査読有り
T. Watanabe, H. Kikuchi, T. Fukushima, H. Tomita, E. Sugano, H. Kurino, T. Tanaka, M. Tamai, M. Koyanagi
ESSDERC 2006: PROCEEDINGS OF THE 36TH EUROPEAN SOLID-STATE DEVICE RESEARCH CONFERENCE 327-+ 2006年
出版者・発行元:IEEEDOI: 10.1109/ESSDER.2006.307704
ISSN:1930-8876
-
Evaluation of electrical stimulus current applied to retinal cells for retinal prosthesis 査読有り
Taiichiro Watanabe, Keita Motonami, Takafumi Fukushima, Hiroyuki Kurino, Tetsu Tanaka, Mitsumasa Koyanagi
FUTURE MEDICAL ENGINEERING BASED ON BIONANOTECHNOLOGY, PROCEEDINGS 45 (4B) 585-+ 2006年
出版者・発行元:WORLD SCIENTIFIC PUBL CO PTE LTDDOI: 10.1142/9781860948800_0065
-
ロボットビジョンシステムのための積層型並列リコンフィギャラブル画像処理プロセッサの設計 査読有り
杉村武昭, 小西雄太, 出口淳, 石原聡之, 福島誉史, 近野敦, 内山勝, 小柳光正
電子情報通信学会論文誌 D J89-D (6) 1141-1152 2006年
ISSN:1880-4535
-
New Three-Dimensional Integration Technology Using Chip-to-Wafer Bonding to Achieve Ultimate Super Chip Integration 査読有り
T. Fukushima, Y. Yamada, H. Kikuchi, M.Koyanagi
Proceeding of SOLID STATE DEVICES AND MATERIALS (SSDM) 2005 64-65 2005年9月
-
Nickel Germanide Formation on Condensed Ge Layer For Ge-on-Insulator Device Application 査読有り
Hoon CHOI, Mungi PARK, Takafumi FUKUSHIMA, Mitsumasa KOYANAGI
International Conference on Solid State Device and Materials (SSDM) 572-573 2005年9月
-
Characteristics of Silicon-on-Low-K Insulator (SOLK) MOSFET with Metal Back-Gate 査読有り
Y. Yamada, Hyuckjae Oh, T. Sakaguchi, T. Fukushima, M. Koyanagi
International Conference on Solid State Device and Materials (SSDM) 66-67 2005年9月
-
Multi-Chip Shared-Memory Module with Optical Interconnection for Parallel Processor System 査読有り
Hirofumi Kuribara, Hiroyuki Hashimoto, Takafumi Fukushima, Mitsumasa Koyanagi
International Conference on Solid State Device and Materials (SSDM) 2005 334-335 2005年9月
-
Magnetic and Microstructural Properties of FePt L10 Nanoparticle Films Fabricated by Self-Assembled Nano-Dot Deposition(SAND) Method 査読有り
J. C. Bea, C.-K. Yin, M. Nishijima,T. Fukushima, T. Sadoh, M. Miyao, M. Koyanagi
International Conference on Solid State Device and Materials (SSDM) 436-437 2005年9月
-
Intelligent Neural Implant Microsystem Fabricated Using Multi-Chip Bonding Technique 査読有り
Taiichiro Watanabe, Keita Motonami, Kazuhiro Sakamoto, Jun Deguchi, Risato Kobayashi, Ken Komiya, Keiji Okumura, Takafumi Fukushima, Hiroyuki Kurino, Hajime Mushiake, Mitsumasa Koyanagi
International Conference on Solid State Device and Materials (SSDM) 2005 462-463 2005年9月
-
Influences of Ion Implantation Damages on Elevated Source/Drain Formation for Ultra-Thin Body SOI MOSFET 査読有り
Hyuckjae Oh, Takeshi Sakaguchi, Jicheol Bea, Takafumi Fukusima, Mitsumasa Koyanagi
International Conference on Solid State Device and Materials (SSDM) 520-521 2005年9月
-
Deep Trench Etching for Chip-to-Chip Three-Dimensional Integration 査読有り
Hirokazu Kikuchi, Yusuke Yamada, Hitoshi Kijima, Takafumi Fukushima
International Conference on Solid State Device and Materials (SSDM) 562-563 2005年9月
-
Characteristics of Metal Gate GOI-MOSFET with High-k Gate Dielectric Fabricated by Ge Condensation Method 査読有り
Mungi Park, Hoon Choi, Jicheol Bea, Takafumi Fukushima, Mitsumasa Koyanagi
International Conference on Solid State Device and Materials (SSDM) 588-589 2005年9月
-
Fabrication and Evaluation of Magnetic Tunnel Junction with MgO Tunneling Barrier 査読有り
Takeshi Sakaguchi, Hoon Choi, Takeaki Sugimura, Mikihiko Oogane, Hyuckjae Oh, Jun Hayakawa, Shoji Ikeda, Young Min Lee, Takafumi Fukushima, Terunobu Miyazaki, Hideo Ohno, Mitsumasa Koyanagi
International Conference on Solid State Device and Materials (SSDM) 642-643 2005年9月
-
Estimation of Wire Length Distribution for Evaluating Performance Improvement of Three-Dimensional LSI 査読有り
Jun Deguchi, Yoshihiro Nakatani, Takeaki Sugimura, Takafumi Fukushima, Mitsumasa Koyanagi
International Conference on Solid State Device and Materials (SSDM) 2005 660-661 2005年9月
-
Low Power and High Sensitivity MRAM Sensing Scheme with Body Biased Preamplifier 査読有り
Takeaki Sugimura, Jun Deguchi, Hoon Choi, Takeshi Sakaguchi, Hyuchjae Oh, Takafumi Fukushima, Mitsumasa Koyanagi
International Conference on Solid State Device and Materials (SSDM) 2005 928-929 2005年9月
-
三次元積層型リコンフィギャラブル画像処理プロセッサを用いたロボットビジョンシステム
杉村武昭, 出口淳, 小西雄太, 中谷好博, 福島誉史, 近野敦, 栗野浩之, 内山勝, 小柳光正
電子情報通信学会技術研究報告 105 (43(RECONF2005 15-29)) 79-84 2005年
ISSN:0913-5685
-
New three-dimensional integration technology using self-assembly technique 査読有り
T Fukushima, Y Yamada, H Kikuchi, M Koyanagi
IEEE INTERNATIONAL ELECTRON DEVICES MEETING 2005, TECHNICAL DIGEST 359-362 2005年
出版者・発行元:IEEE -
Deep Si Hole Etching Technique for Super Chip Integration 査読有り
T. Fukushima, H. Kurino, H. Kikuchi, H. Kijima, Y. Yamada, J. Shim, M. Koyanagi
Proceeding of The Electrochemical Society International Semiconductor Technology Conference (ISTC) 364-366 2004年9月
-
Bump Formation Technique for Multi-Chip Module with Optical Interconnections 査読有り
T. Fukushima, H. Kurino, R. Nitobe, H. Kuribara, Y. Yamada, J. Shim, M. Koyanagi
Proceeding of The Electrochemical Society International Semiconductor Technology Conference (ISTC) 442-444 2004年9月
-
Ultimate Functional Multi-Electrode System (UFMES) Formed by Multi-Chip Bonding Technology 査読有り
T. Watanabe, K. Motonami, K. Sakamoto, J. Deguchi, T. Fukushima, J. Shim, H. Mushiake, H. Kurino, M. Koyanagi
Proceeding of 2004 International Conference on Solid State Device and Materials (SSDM) 2004年9月
-
Ultrathin-SOI PMOSFET with Elevated S/D and buried back gate
H. Oh, T. Fukushima, T. Sakaguchi, J. Shim, C. Yin, M. Park, H. Kurino, M. Koyanagi
Proceeding of The Electrochemical Society International Semiconductor Technology Conference (ISTC) 51-56 2004年9月
-
Three-dimensionally stacked analog retinal prosthesis chip 査読有り
J Deguchi, T Watanabe, T Nakamura, Y Nakagawa, T Fukushima, S Jeoung-Chill, H Kurino, T Abe, M Tamai, M Koyanagi
JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS 43 (4B) 1685-1689 2004年4月
出版者・発行元:INST PURE APPLIED PHYSICSDOI: 10.1143/JJAP.43.1685
ISSN:0021-4922
-
並列リコンフィギュラブル画像処理プロセッサを用いたロボットビジョンシステム
杉村武昭, 出口淳, 小西雄太, 中谷好博, 福島誉史, 近野敦, 栗野浩之, 内山勝, 小柳光正
電子情報通信学会技術研究報告 104 (521(ICD2004 183-192)) 49-54 2004年
ISSN:0913-5685
-
Heat-resistant photoresists based on new imaging technique: reaction development patterning 査読有り
T Fukushima, Y Kawakami, A Kitamura, T Oyama, M Tomoi
JOURNAL OF MICROLITHOGRAPHY MICROFABRICATION AND MICROSYSTEMS 3 (1) 159-167 2004年1月
出版者・発行元:SPIE-INT SOCIETY OPTICAL ENGINEERINGDOI: 10.1117/1.1633273
ISSN:1537-1646
-
Photosensitive fluorinated polyimides with constant based on reaction development a low dielectric patterning 査読有り
T Miyagawa, T Fukushima, T Oyama, T Iijima, M Tomoi
JOURNAL OF POLYMER SCIENCE PART A-POLYMER CHEMISTRY 41 (6) 861-871 2003年3月
出版者・発行元:JOHN WILEY & SONS INCDOI: 10.1002/pola.10638
ISSN:0887-624X
-
Ultrafast active transmission lines with low-k polyimide integrated with ultrafast photoconductive switches 査読有り
S Yagi, T Itatani, H Kawanami, S Gorwadkar, T Uemura, T Fukushima, H Itatani, M Tomoi, M Tacano
JAPANESE JOURNAL OF APPLIED PHYSICS PART 2-LETTERS 42 (2B) L154-L156 2003年2月
出版者・発行元:INST PURE APPLIED PHYSICSDOI: 10.1143/JJAP.42.L154
ISSN:0021-4922
-
Heat-resistant photoresists based on new imaging technique: reaction development patterning (RDP) 査読有り
T Fukushima, T Oyama, M Tomoi
ADVANCES IN RESIST TECHNOLOGY AND PROCESSING XX, PTS 1 AND 2 5039 960-967 2003年
出版者・発行元:SPIE-INT SOC OPTICAL ENGINEERINGDOI: 10.1117/12.483709
ISSN:0277-786X
-
Ionic-bonded negative photosensitive polyimides having pendant aminoalkyl (meth)acrylamide groups 査読有り
T Fukushima, T Oyama, M Tomoi
REACTIVE & FUNCTIONAL POLYMERS 56 (1) 59-73 2003年
出版者・発行元:ELSEVIER SCIENCE BVDOI: 10.1016/S1381-5148(03)00033-6
ISSN:1381-5148
-
反応現像画像形成法を基盤とした感光性エンジニアリングプラスチックの開発 招待有り 査読有り
福島誉史, 大山俊幸, 友井正男
日本化学会講演予稿集 83rd (2) 585-588 2003年
ISSN:0285-7626
-
New Concept of Heat-Resistant Photoresists: Reaction Development Patterning (RDP) 査読有り
T. Fukushima, T. Oyama, M. Tomoi
IUPAC World Polymer Congress 2002, 39th International Symposium on Macromolecules 2002年7月
-
Positive Photosensitive Polyimides Based on Novel Imaging Principle: Reaction Development Patterning (RDP)
T. Fukushima, T. Oyama, M. Tomoi
Proceedings of STEPI6: 6th European Technical Symposium on Polyimides and High Performance Functional Polymer 2002年5月
-
Photosensitive polyarylates based on reaction development patterning 査読有り
T Oyama, A Kitamura, T Fukushima, T Iijima, M Tomoi
MACROMOLECULAR RAPID COMMUNICATIONS 23 (2) 104-108 2002年1月
出版者・発行元:WILEY-V C H VERLAG GMBHISSN:1022-1336
-
Photosensitive polyetherimide (Ultem) based on reaction development patterning (RDP) 査読有り
T Fukushima, Y Kawakami, T Oyama, M Tomoi
JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY 15 (2) 191-196 2002年
出版者・発行元:TECHNICAL ASSOC PHOTOPOLYMERS,JAPANDOI: 10.2494/photopolymer.15.191
ISSN:0914-9244
-
Ultrafast photoconductive swiches integrated with electrical waveguides of low-k polyimide 招待有り 査読有り
S Yagi, T Itatani, H Kawanami, S Gorwadkar, T Uemura, T Fukushima, H Itatani, M Tomoi, M Tacano
APPLICATIONS OF PHOTONIC TECHNOLOGY 5 4833 623-632 2002年
出版者・発行元:SPIE-INT SOC OPTICAL ENGINEERINGDOI: 10.1117/12.474331
ISSN:0277-786X
-
Durable and refreshable polymeric N-halamine biocides containing 3-(4′-vinylbenzyl)-5,5-dimethylhydantoin 査読有り
Takafumi Fukushima, Toshiyuki Oyama, Takao Iijima, Masao Tomoi, Hiroshi Itatani
Journal of Polymer Science, Part A: Polymer Chemistry 39 (19) 3348-3355 2001年10月1日
DOI: 10.1002/pola.1317
ISSN:0887-624X
-
Photosensitive polycarbonates based on reaction development patterning (RDP) 査読有り
T Oyama, Y Kawakami, T Fukushima, T Iijima, M Tomoi
POLYMER BULLETIN 47 (2) 175-181 2001年10月
出版者・発行元:SPRINGER-VERLAGISSN:0170-0839
-
New concept of positive photosensitive polyimide: Reaction development patterning (RDP) 査読有り
T Fukushima, T Oyama, T Iijima, M Tomoi, H Itatani
JOURNAL OF POLYMER SCIENCE PART A-POLYMER CHEMISTRY 39 (19) 3451-3463 2001年10月
出版者・発行元:JOHN WILEY & SONS INCDOI: 10.1002/pola.1327
ISSN:0887-624X
-
Synthesis and positive-imaging photosensitivity of soluble polyimides having pendant carboxyl groups 査読有り
T Fukushima, K Hosokawa, T Oyama, T Iijima, M Tomoi, H Itatani
JOURNAL OF POLYMER SCIENCE PART A-POLYMER CHEMISTRY 39 (6) 934-946 2001年3月
出版者・発行元:JOHN WILEY & SONS INCDOI: 10.1002/1099-0518(20010315)39:6<934::AID-POLA1068>3.0.CO;2-T
ISSN:0887-624X
-
Positive photosensitive polyimide synthesized by block-copolymerization for KrF lithography 査読有り
T Itatani, S Gorwadkar, T Fukushima, M Komuro, H Itatani, M Tomoi, T Sakamoto, S Matsumoto
ADVANCES IN RESIST TECHNOLOGY AND PROCESSING XVII, PTS 1 AND 2 3999 552-558 2000年
出版者・発行元:SPIE-INT SOC OPTICAL ENGINEERINGISSN:0277-786X
-
Applications of newly developed positive photosensitive block co-polyimides to CSPs 査読有り
S Matsumoto, XZ Jin, T Fukushima, M Miyamura, H Itatani
PROCEEDINGS OF 3RD ELECTRONICS PACKAGING TECHNOLOGY CONFERENCE 367-372 2000年
出版者・発行元:IEEE -
Sub-micron Patterning of Positive Photo-sensitive Polyimide Synthesized by Block Copolymerization 査読有り
T. Itatani, S. Gorwadkar, T. Fukushima, Y. Yamamoto, M. Maezawa, M. Komuro, T. Sakamoto, M. Tomoi, H. Itatani
Proceedings of International Conference on Solid State Device and Materials (SSDM), 1999年9月
MISC 196
-
PMMA被覆Auナノ粒子含有ブロック高分子の誘導自己組織化と三次元配線形成
福島 誉史
第70回高分子学会年次大会 1H09 2021年5月
-
多段階励起による発光現象を用いた光遺伝学用神経メッシュプローブの提案と作製
長崎春樹, 浦山翔太, YANG Fen, 木野久志, 福島誉史, 福島誉史, 田中徹, 田中徹
応用物理学会春季学術講演会講演予稿集(CD-ROM) 68th 2021年
-
UV-LED内蔵ハイドロゲルフレキシブル基板を用いた殺菌絆創膏の作製と評価
高橋則之, 煤孫祐樹, WANG Z., 小田島輩, 木野久志, 田中徹, 田中徹, 福島誉史, 福島誉史
応用物理学会春季学術講演会講演予稿集(CD-ROM) 68th 2021年
-
Directed Self-Assembly based Interconnect Technology for Next-Generation 2D/3D LSI
Takafumi Fukushima
Impact 2020 (1) 6-8 2020年2月27日
出版者・発行元:Science Impact, Ltd.DOI: 10.21820/23987073.2020.1.6
ISSN:2398-7073
-
RDL-First FOWLP技術を利用したハイドロゲルフレキシブル基板上への配線形成
高橋則之, 煤孫祐樹, 木野久志, 田中徹, 田中徹, 福島誉史, 福島誉史
電子情報通信学会論文誌 C(Web) J103-C (3) 2020年
ISSN:1881-0217
-
Fan-Out Wafer-Level Packagingによるフレキシブル経爪脈波センサの集積化
小田島輩, 煤孫祐樹, QIAN Zhengyang, 高橋則之, 永田柊太, 木野久志, 田中徹, 田中徹, 福島誉史, 福島誉史
応用物理学会秋季学術講演会講演予稿集(CD-ROM) 81st 2020年
-
インモールドエレクトロニクス用フレキシブル三次元波状配線の作製
永田柊太, 木野久志, 田中徹, 田中徹, 福島誉史, 福島誉史
応用物理学会秋季学術講演会講演予稿集(CD-ROM) 81st 2020年
-
SPECIAL REPORT (SEMICON Taiwan Special): Through Silicon Via Propels 3D Chip Integration 招待有り
24-25 2019年9月
-
誘導自己組織化による極微細三次元配線形成技術
福島誉史, 福島誉史, MURUGESAN Mariappan, 小柳光正
電子情報通信学会技術研究報告 118 (438(SDM2018 91-97)) 2019年
ISSN:0913-5685
-
Multichip-to-Wafer三次元集積化基盤技術の開発(3)-異種機能集積化に向けたマイクロバンプ接合技術-
三輪侑紀, LEE Sungho, LIANG Rui, 木野久志, 福島誉史, 田中徹, 田中徹
応用物理学会春季学術講演会講演予稿集(CD-ROM) 66th 2019年
-
Multichip-to-Wafer三次元集積化基盤技術の開発(1)-テンポラリ接着剤を用いた一括チップ薄化技術-
LEE Sungho, LIANG Rui, 三輪侑紀, 木野久志, 福島誉史, 田中徹, 田中徹
応用物理学会春季学術講演会講演予稿集(CD-ROM) 66th 2019年
-
μLED埋め込み型フレキシブルオプト神経プローブの開発
島智大, 煤孫裕樹, ZHANG Bowen, 浦山翔太, 木野久志, 福島誉史, 田中徹, 田中徹
応用物理学会春季学術講演会講演予稿集(CD-ROM) 66th 2019年
-
高集積ストレッチャブルデバイス作製に資する基盤技術研究
福島誉史
村田学術振興財団年報 (33) 2019年
ISSN:0919-3383
-
チップ内蔵フレキシブル・ハイブリッド・エレクトロニクスの電気特性評価
煤孫祐樹, ZHENGYANG Qian, 高橋則之, 木野久志, 田中徹, 田中徹, 福島誉史
応用物理学会春季学術講演会講演予稿集(CD-ROM) 66th 2019年
-
ハイドロゲルを用いたフレキシブル・ハイブリッド・エレクトロニクス作製
高橋則之, 煤孫祐樹, 木野久志, 田中徹, 田中徹, 福島誉史
応用物理学会春季学術講演会講演予稿集(CD-ROM) 66th 2019年
-
TSVを用いた三次元集積技術によるAIチップの開発
福島誉史, 福島誉史, 福島誉史
半導体・集積回路技術シンポジウム(CD-ROM) 83rd 2019年
-
RDL-first FOWLPによるハイドロゲル用いたFHEのためのチップ内蔵技術
高橋則之, 煤孫祐樹, 木野久志, 田中徹, 田中徹, 福島誉史, 福島誉史
応用物理学会秋季学術講演会講演予稿集(CD-ROM) 80th 2019年
-
光遺伝学用UCNPオプト神経プローブの発光強度特性評価
浦山翔太, 木野久志, 福島誉史, 福島誉史, 田中徹, 田中徹
応用物理学会秋季学術講演会講演予稿集(CD-ROM) 80th 2019年
-
経爪型集積化光電容積脈波計測システムの開発-二階微分回路の設計と評価-
SATAKE Filipe Alves, LEE Kar Mun, QIAN Zhengyang, 矢吹僚介, DU Bang, 福島奨, 木野久志, 福島誉史, 清山浩司, 田中徹, 田中徹
応用物理学会秋季学術講演会講演予稿集(CD-ROM) 80th 2019年
-
高密度電極接続を用いた三次元集積のための低背マイクロバンプ接合評価
三輪侑紀, LEE Sungho, LIANG Rui, 熊原宏征, 木野久志, 福島誉史, 福島誉史, 田中徹, 田中徹
応用物理学会秋季学術講演会講演予稿集(CD-ROM) 80th 2019年
-
Multichip-to-Wafer三次元集積に向けたマイクロバンプ接合技術
熊原宏征, 三輪侑紀, LEE Sungho, LIANG Rui, 木野久志, 福島誉史, 福島誉史, 田中徹, 田中徹
応用物理学会秋季学術講演会講演予稿集(CD-ROM) 80th 2019年
-
硬い単結晶半導体で創る曲面集積フレキシブルデバイス創製
福島誉史
天野工業技術研究所年次報告 2018 2019年
-
ニューラルネットワーク向け相関二重サンプリング回路の開発
清水郁也, 清山浩司, 木野久志, 福島誉史, 田中徹, 小柳光正
電気・情報関係学会九州支部連合大会講演論文集(CD-ROM) 72nd 2019年
-
多段階励起による発光現象を用いた光遺伝学用神経プローブの作製
浦山翔太, 島智大, ZHANG Bowen, 木野久志, 福島誉史, 田中徹, 田中徹
応用物理学会春季学術講演会講演予稿集(CD-ROM) 66th 2019年
-
高集積フレキシブルデバイスシステム作製の技術基盤構築
煤孫祐樹, 木野久志, 田中徹, 田中徹, 福島誉史
応用物理学会春季学術講演会講演予稿集(CD-ROM) 65th 2018年
-
経爪型集積化光電容積脈波計測システムの開発(2)-動脈血酸素飽和度(SpO<sub>2</sub>)の計測-
矢吹僚介, QIAN Zhengyang, 竹澤好樹, 下川賢士, LEE Kar Mun, 木野久志, 福島誉史, 清山浩司, 田中徹, 田中徹
応用物理学会春季学術講演会講演予稿集(CD-ROM) 65th 2018年
-
三次元積層シリコン神経プローブアレイの開発(2)-低侵襲刺入を目的としたシャンク配置の検討-
島智大, 原島卓也, ZHANG Bowen, 森川拓実, 木野久志, 福島誉史, 田中徹, 田中徹
応用物理学会春季学術講演会講演予稿集(CD-ROM) 65th 2018年
-
経爪型集積化光電容積脈波計測システムの開発(1)-集積化PPG計測LSIの設計と評価-
QIAN Zhengyang, 竹澤好樹, 下川賢士, 矢吹僚介, LEE Karmun, 木野久志, 福島誉史, 清山浩司, 田中徹, 田中徹
応用物理学会春季学術講演会講演予稿集(CD-ROM) 65th 2018年
-
真空支援スピン塗布型BCBライナー絶縁膜を用いたTSV形成技術
李晟豪, 菅原陽平, 伊藤誠人, 木野久志, 福島誉史, 田中徹, 田中徹
エレクトロニクス実装学会講演大会講演論文集(CD-ROM) 32nd 2018年
ISSN:1880-4616
-
DRAMセルアレイを用いた3D-IC内部のCu汚染の高精度評価
谷川星野, 福島誉史, 木野久志, 田中徹, 田中徹
エレクトロニクス実装学会講演大会講演論文集(CD-ROM) 32nd 2018年
ISSN:1880-4616
-
マルチウェル構造TSVを用いたTSV側壁界面評価方法の開発
菅原陽平, 木野久志, 福島誉史, 田中徹, 田中徹
電子情報通信学会論文誌 C(Web) J101-C (2) 2018年
ISSN:1881-0217
-
高集積フレキシブルデバイスシステム作製のための応力緩衝層の評価
煤孫祐樹, JACQUMOND Achille, JACQUMOND Achille, 高橋則之, 木野久志, 田中徹, 田中徹, 福島誉史
応用物理学会秋季学術講演会講演予稿集(CD-ROM) 79th 2018年
-
経爪型集積化光電式SpO<sub>2</sub>計測システムの開発-回路の設計と評価-
矢吹僚介, QIAN Zhengyang, LEE Kar Mun, BANG Du, 木野久志, 福島誉史, 清山浩司, 田中徹, 田中徹
応用物理学会秋季学術講演会講演予稿集(CD-ROM) 79th 2018年
-
三次元集積化技術の現状と脳型情報処理システムへの応用
小柳光正, 福島誉史
光技術コンタクト 56 (10) 30-40 2018年
ISSN:0913-7289
-
経爪型集積化光電容積脈波計測システムの開発-受光・計測回路の設計と評価-
QIAN Zhengyang, 竹澤好樹, 下川賢士, 木野久志, 福島誉史, 清山浩司, 田中徹, 田中徹
応用物理学会秋季学術講演会講演予稿集(CD-ROM) 78th 2017年
-
三次元積層人工網膜チップのためのラプラシアンエッジ強調機能を有する刺激電流生成回路の評価
下川賢士, QIAN Zhengyang, 竹澤好樹, 木野久志, 福島誉史, 清山浩司, 田中徹, 田中徹
応用物理学会秋季学術講演会講演予稿集(CD-ROM) 78th 2017年
-
矩形波インピーダンス計測のためのGIDL電流を用いた低周波リングオシレータの設計と評価
竹澤好樹, 下川賢士, QIAN Zhengyang, 福島奨, 木野久志, 福島誉史, 清山浩司, 田中徹, 田中徹
応用物理学会秋季学術講演会講演予稿集(CD-ROM) 78th 2017年
-
皮質層別刺激可能なシリコンオプト神経プローブの開発
森川拓実, 原島卓也, ZHANG Bowen, 土居史弥, 木野久志, 福島誉史, 田中徹, 田中徹
応用物理学会秋季学術講演会講演予稿集(CD-ROM) 78th 2017年
-
生体信号計測・電気刺激のためのGIDL電流を用いたインピーダンス計測回路の評価
清山浩司, 竹澤好樹, 下川賢士, 銭正ヨウ, 木野久志, 福島誉史, 田中徹
電気・情報関係学会九州支部連合大会講演論文集(CD-ROM) 70th 2017年
-
三次元神経活動記録のための積層シリコン神経プローブアレイの開発
原島卓也, 森川拓実, ZHANG Bowen, 土居史弥, 木野久志, 福島誉史, 田中徹, 田中徹
応用物理学会秋季学術講演会講演予稿集(CD-ROM) 78th 2017年
-
特定深さの細胞のみ光刺激可能な光ファイバ埋め込みシリコンオプト神経プローブの開発
森川拓実, 原島卓也, 木野久志, 福島誉史, 片山統裕, 虫明元, 田中徹
日本生体医工学会大会プログラム・抄録集(Web) 56th (3) 191-191 2017年
出版者・発行元:公益社団法人 日本生体医工学会 -
三次元神経活動記録のための積層尖鋭化シリコン神経プローブアレイの開発
原島卓也, 森川拓実, 木野久志, 福島誉史, 片山統裕, 虫明元, 田中徹
日本生体医工学会大会プログラム・抄録集(Web) 56th (3) 189-189 2017年
出版者・発行元:公益社団法人 日本生体医工学会 -
Heterogeneous Integration with High-Performance and Scalable Substrates: Si-IF (Interconnect Fabric) and FlexTrateTM
T. Fukushima, A. Bajwa, S.S.Iyer
Advancing Microelectronics Magazine Mar./Apr. 2017年
-
『健康的に見える外見に着目した取り組み』~統合失調症患者に対する立位姿勢・歩行へのアプローチ~
坂井孝行, 東将洋, 山井亨, 上村真紀, 福島翔, 尾林誉史, 佐田美佐子, 岡崎祐士
九州精神神経学会・九州精神医療学会プログラム・抄録集 69th-62nd 2016年
-
シリコンウエハの表面処理 半導体ウエハへの三次元配線加工:TSVと狭ピッチ電極を中心に
福島誉史, 福島誉史, 福島誉史, LEE Kang-Wook, 田中徹, 田中徹, 小柳光正
表面技術 67 (8) 414-420 2016年
DOI: 10.4139/sfj.67.414
ISSN:0915-1869
-
高集積フレキシブルSiデバイス作製技術の開発
福島誉史
立石科学技術振興財団助成研究成果集 (24) 2015年
ISSN:0918-9939
-
気相堆積重合ポリイミドを用いたTSVライナー形成
福島誉史, MARIAPPAN Murugesan, BEA Ji-Ceol, LEE Kang-Wook, 小柳光正
電子情報通信学会技術研究報告 113 (451(SDM2013 165-173)) 2014年
ISSN:0913-5685
-
3D IC用ビアラスト・バックサイドビアプロセスにおけるプラズマダメージのMOSFET特性への影響評価
菅原陽平, 橋口日出登, 谷川星野, 木野久志, 福島誉史, LEE K.-W., 小柳光正, 田中徹, 田中徹
応用物理学会秋季学術講演会講演予稿集(CD-ROM) 75th 2014年
-
三次元集積化におけるTSV作製プロセスがトランジスタ特性に及ぼす影響評価
菅原陽平, 谷川星野, 橋口日出登, 木野久志, 福島誉史, LEE Kangwook, 小柳光正, 田中徹
電気学会全国大会講演論文集(CD-ROM) 2014 2014年
-
高解像網膜下刺激人工網膜モジュールの開発
木暮爾, 笹木悠一郎, 長沼秀樹, 渡辺洋太, 木野久志, 福島誉史, LEE Kangwook, 小柳光正, 田中徹, 田中徹
応用物理学会春季学術講演会講演予稿集(CD-ROM) 60th 2013年
-
光電子集積三次元LSIのための高効率光カップラの作製
乗木暁博, LEE K.-W, BEA J.-C., 福島誉史, 田中徹, 田中徹, 小柳光正
応用物理学会春季学術講演会講演予稿集(CD-ROM) 60th 2013年
-
自己組織化静電仮接合を用いたC2W三次元集積化技術
橋口日出登, 福島誉史, BEA J., MURUGESAN Mariappan, 木野久志, LEE K.-W., 田中徹, 田中徹, 小柳光正
応用物理学会春季学術講演会講演予稿集(CD-ROM) 60th 2013年
-
室温硬化型樹脂による3D IC内の機械応力低減に関する検討
木野久志, 福島誉史, 小柳光正, 田中徹, 田中徹
応用物理学会秋季学術講演会講演予稿集(CD-ROM) 74th 2013年
-
C2W三次元集積のための自己組織化静電仮接合の評価
橋口日出登, 福島誉史, BEA J.-C., 木野久志, LEE K.-W., 田中徹, 田中徹, 小柳光正
応用物理学会秋季学術講演会講演予稿集(CD-ROM) 74th 2013年
-
三次元LSIとヘテロインテグレーション
LEE K-W., 福島誉史, 田中徹, 小柳光正
半導体・集積回路技術シンポジウム講演論文集 77th 2013年
-
機能性液体を用いた自己組織化チップ実装技術
伊藤有香, 伊藤有香, 福島誉史, 李康旭, 長木浩司, 田中徹, 田中徹, 小柳光正
エレクトロニクス実装学会講演大会講演論文集(CD-ROM) 27th 2013年
ISSN:1880-4616
-
自己組織化静電吸着技術を利用した三次元チップ積層
橋口日出登, 福島誉史, はい志哲, 木野久志, 李康旭, 田中徹, 田中徹, 小柳光正
エレクトロニクス実装学会講演大会講演論文集(CD-ROM) 27th 2013年
ISSN:1880-4616
-
三次元集積化技術の動向と実用化に向けた課題
李 康旭, 福島 誉史, 田中 徹, 小柳 光正
電子情報通信学会技術研究報告. ICD, 集積回路 112 (324) 15-22 2012年11月20日
出版者・発行元:一般社団法人電子情報通信学会ISSN:0913-5685
-
風力発電機出力平滑化用エネルギー貯蔵装置の定格決定に関する検討
黒瀬誉史, 高橋理音, 田村淳二, 福島知之, 坂原淳史, 新谷宏治
電気学会論文誌 B 132 (2) 2012年
ISSN:0385-4213
-
24×24ピクセルを有する網膜下刺激人工網膜モジュールの開発
渡辺慶朋, 長沼秀樹, 木暮爾, 笹木悠一郎, 清山浩司, 清山浩司, 福島誉史, LEE Kangwook, 小柳光正, 田中徹, 田中徹
応用物理学関係連合講演会講演予稿集(CD-ROM) 59th 2012年
-
高信頼性Cu-TSVのための応力低減層の開発
橋口日出登, MURGESAN Mariappan, 福島誉史, LEE K., 田中徹, 田中徹, 小柳光正
応用物理学関係連合講演会講演予稿集(CD-ROM) 59th 2012年
-
チッププロセスによる積層チップ形成
朴澤一幸, 花岡裕子, 青木真由, 武田健一, LEE K.W., 福島誉史, 小柳光正
応用物理学会学術講演会講演予稿集(CD-ROM) 73rd 2012年
-
光電子集積三次元LSIのための高効率光カップラの検討
乗木暁博, LEE K.-W, BEA J.-C., 福島誉史, 田中徹, 田中徹, 小柳光正
応用物理学会学術講演会講演予稿集(CD-ROM) 73rd 2012年
-
三次元リコンフィギャラブルスピンプロセッサ用金属マイクロバンプ接合技術の開発
木野久志, 福島誉史, 小柳光正, 田中徹, 田中徹
応用物理学会学術講演会講演予稿集(CD-ROM) 73rd 2012年
-
接着界面の濡れ性を制御した3D IC用チップ/ウェーハ転写技術
大原悠希, LEE K., 福島誉史, 田中徹, 田中徹, 小柳光正
応用物理学関係連合講演会講演予稿集(CD-ROM) 59th 2012年
-
和文論文誌C 特集号 「高密度実装を牽引する材料技術とヘテロインテグレーション」編集幹事(特集号編集委員会)
福島誉史
電子情報通信学会, エレクトロニクスソサエティNEWS LETTER 150 19-19 2012年
-
Cu-TSV/Cu-Snマイクロバンプを有する薄ウェハのストレス評価
マリヤッパン ムルゲサン, 福島 誉史, 田中 徹, 小柳 光正
電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 110 (408) 43-47 2011年1月31日
出版者・発行元:一般社団法人電子情報通信学会ISSN:0913-5685
-
脳深部刺激のための刺激電極付きシリコン神経プローブの開発
菅野壮一郎, 小林吏悟, LEE S., 雪田嘉穂, LEE K., 福島誉史, 片山統裕, 虫明元, 小柳光正, 田中徹
応用物理学関係連合講演会講演予稿集(CD-ROM) 58th 2011年
-
3次元積層による薄化LSIチップの変形と応力分布の解析
木野久志, MURUGESAN Mariappan, BEA Jichel, LEE Kangwook, 福島誉史, 小柳光正, 田中徹, 田中徹
応用物理学関係連合講演会講演予稿集(CD-ROM) 58th 2011年
-
高集積微細デバイスにおける今後の信号伝達/配線技術:シリコン貫通光インターコネクション(TSPV)を用いた光電子三次元集積化技術
福島誉史, 乗木暁博, 田中徹, 田中徹, 小柳光正
応用物理学関係連合講演会講演予稿集(CD-ROM) 58th 2011年
-
眼球内完全埋込型人工網膜用ピラー型刺激電極アレイの開発
竹下博隆, 渡辺慶朋, 乗木暁博, LEE Kangwook, 福島誉史, 小柳光正, 田中徹, 田中徹
応用物理学関係連合講演会講演予稿集(CD-ROM) 58th 2011年
-
光・電気刺激に対する網膜応答記録用フレキシブルケーブル電極の作製
木暮爾, 渡辺慶朋, 笹木悠一郎, LEE Kangwook, 福島誉史, 小柳光正, 田中徹
応用物理学会学術講演会講演予稿集(CD-ROM) 72nd 2011年
-
脳深部刺激用シリコン神経プローブの刺激電極材料評価
雪田嘉穂, LEE S., 菅野壮一郎, LEE K., 福島誉史, 小柳光正, 片山統裕, 虫明元, 田中徹
応用物理学会学術講演会講演予稿集(CD-ROM) 72nd 2011年
-
電極間クロストークを抑制した人工網膜用ピラー型刺激電極アレイの開発
渡辺慶朋, 木暮爾, LEE Kangwook, 福島誉史, 小柳光正, 田中徹, 田中徹
応用物理学会学術講演会講演予稿集(CD-ROM) 72nd 2011年
-
光電子集積三次元LSIに用いるシリコン貫通光配線のFDTD解析
乗木暁博, LEE K.-W, BEA J.-C., 福島誉史, 田中徹, 田中徹, 小柳光正
応用物理学会学術講演会講演予稿集(CD-ROM) 72nd 2011年
-
三次元積層時の局所応力がMOSFETの特性に与える影響
木野久志, MURUGESAN Mariappan, BEA Jichel, LEE Kangwook, 福島誉史, 小柳光正, 田中徹, 田中徹
応用物理学会学術講演会講演予稿集(CD-ROM) 72nd 2011年
-
三次元集積のための高精度チップ位置合わせと常温直接接合技術
岩田永司, 福島誉史, LEE K.-W., 田中徹, 田中徹, 小柳光正
応用物理学関係連合講演会講演予稿集(CD-ROM) 58th 2011年
-
狭ピッチ金属マイクロバンプを有するチップの自己組織化実装技術
福島誉史, 岩田永司, 李康旭, 田中徹, 田中徹, 小柳光正
エレクトロニクス実装学会講演大会講演論文集(CD-ROM) 25th 2011年
ISSN:1880-4616
-
三次元光・電子融合集積化技術
李 康旭, 乗木 暁博, 清山 浩司, 福島 誉史, 田中 徹, 小柳 光正
電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 109 (408) 21-24 2010年1月22日
出版者・発行元:一般社団法人電子情報通信学会ISSN:0913-5685
-
三次元集積化技術の課題と展望
小柳光正, 福島誉史, LEE Kang-Wook, 田中徹
電子情報通信学会技術研究報告 109 (412(SDM2009 182-192)) 2010年
ISSN:0913-5685
-
三次元積層型人工網膜チップのための三次元積層技術の開発
海法克享, 大原悠希, 清山浩司, LEE K., 福島誉史, 小柳光正, 田中徹, 田中徹
応用物理学関係連合講演会講演予稿集(CD-ROM) 57th 2010年
-
三次元集積回路のための高密度Cu/Snマイクロバンプ形成技術
大原悠希, 乗木暁博, MURUGESAN Mariappan, 岩田永司, 開達郎, LEE K.-W., BEA J.-C., 福島誉史, 田中徹, 田中徹, 小柳光正
応用物理学関係連合講演会講演予稿集(CD-ROM) 57th 2010年
-
メタルナノドットメモリの電荷保持特性に関する研究
開達郎, PEI Yanli, 小島俊哉, BEA Ji Cheol, 木野久志, 福島誉史, 小柳光正, 田中徹, 田中徹
応用物理学関係連合講演会講演予稿集(CD-ROM) 57th 2010年
-
スピン回路を用いたリコンフィギュラブルプロセッサに関する基礎検討
清山浩司, 清山浩司, 福島誉史, 小柳光正, 田中徹
電気関係学会九州支部連合大会講演論文集(CD-ROM) 63rd 2010年
-
縦型メタルナノドット不揮発性メモリに関する研究
開達郎, 栗山祐介, 小島俊哉, MURUGESAN Mariappan, PEI Yanli, 木野久志, BEA Ji Cheol, 福島誉史, 小柳光正, 田中徹, 田中徹
応用物理学会学術講演会講演予稿集(CD-ROM) 71st 2010年
-
LSI積層による曲げ応力がデバイス特性に与える影響に関する研究
木野久志, 開達郎, 栗山祐介, MURUGESAN Mariappan, BEA Jichel, LEE Kangwook, 福島誉史, 小柳光正, 田中徹, 田中徹
応用物理学会学術講演会講演予稿集(CD-ROM) 71st 2010年
-
ファインピッチ金属マイクロバンプを有するチップの自己組織化積層
岩田永司, 福島誉史, LEE Kang-Wook, 小柳光正, 田中徹, 田中徹
応用物理学会学術講演会講演予稿集(CD-ROM) 71st 2010年
-
金属マイクロバンプ接合を介した自己組織化チップ積層
岩田永司, 福島誉史, LEE K.-W., 田中徹, 田中徹, 小柳光正
応用物理学関係連合講演会講演予稿集(CD-ROM) 57th 2010年
-
風力発電機出力平滑化用エネルギー貯蔵装置の定格決定に関する検討
黒瀬誉史, 高橋理音, 田村淳二, 福島知之, 笹野栄一, 坂原淳史, 新谷宏治
電気学会回転機研究会資料 RM-10 (134-152) 2010年
-
光導波路付きシリコン神経プローブの開発
小林吏悟, LEE S., 菅野壮一郎, 酒井誠一郎, LEE K., 福島誉史, 片山統裕, 虫明元, 八尾寛, 小柳光正, 田中徹, 田中徹
応用物理学関係連合講演会講演予稿集(CD-ROM) 57th ROMBUNNO.17P-ZD-15 2010年
-
神経細胞の高精度光刺激のための光導波路付きシリコン神経プローブの開発
小林吏悟, LEE S., 菅野壮一郎, 酒井誠一郎, LEE K., 福島誉史, 石塚徹, 虫明元, 八尾寛, 小柳光正, 田中徹
応用物理学会学術講演会講演予稿集(CD-ROM) 71st ROMBUNNO.16A-ZW-24 2010年
-
電子回路・実装の注目製品・技術 3次元積層型集積回路に向けた自己組織化チップ実装技術
福島誉史, LEE Kang Wook, 田中徹, 小柳光正
電子材料 49 (6) 17-24 2010年
ISSN:0387-0774
-
in-vivo神経細胞活動記録用両面電極付きSiプローブの開発
LEE S., 小林吏悟, 管野壮一郎, 福島誉史, 坂本一寛, 松坂義哉, 片山統裕, 虫明元, 田中徹, 田中徹, 小柳光正, 小柳光正
応用物理学関係連合講演会講演予稿集 56th (3) 2009年
-
神経細胞活動のin vivo記録用Si両面プローブの開発
LEE S., 小林吏悟, 菅野壮一郎, 福島誉史, 坂本一寛, 松坂義哉, 片山統裕, 虫明元, 小柳光正, 田中徹, 田中徹
日本生体医工学会大会プログラム・論文集(CD-ROM) 48th 2009年
-
メタルナノドットメモリの電荷保持特性に関する研究
開達郎, PEI Yanli, 小島俊哉, BEA Ji Cheol, 木野久志, 福島誉史, 田中徹, 田中徹, 小柳光正
応用物理学会学術講演会講演予稿集 70th (2) 2009年
-
周波数変動を考慮した風力発電機出力平滑化用エネルギー貯蔵装置の設計に関する基礎検討
黒瀬誉史, 高橋理音, 田村淳二, 福島知之, 笹野栄一, 新谷宏治
電気・情報関係学会北海道支部連合大会講演論文集(CD-ROM) 2009 2009年
-
窒化膜スペーサによる縦型MOSFETの寄生容量低減に関する研究
木野久志, 開達郎, BEA J.C., 福島誉史, 田中徹, 田中徹, 小柳光正
応用物理学会学術講演会講演予稿集 70th (2) 2009年
-
眼球内完全埋め込み型人工網膜のためのピラー型刺激電極の開発
竹下博隆, 海法克享, LEE K.-W., 福島誉史, 田中徹, 田中徹, 小柳光正
応用物理学会学術講演会講演予稿集 70th (3) 2009年
-
自己組織化による三次元LSIチップの高精度位置合わせ技術
岩田永司, 福島誉史, 田中徹, 田中徹, 小柳光正
応用物理学会学術講演会講演予稿集 70th (2) 2009年
-
マイクロ流路付き両面シリコン神経プローブの開発
菅野壮一郎, 小林吏悟, LEE S., LEE K., 福島誉史, 坂本一寛, 松坂義哉, 片山統裕, 虫明元, 小柳光正, 田中徹, 田中徹
応用物理学会学術講演会講演予稿集 70th (3) 2009年
-
実装技術とそれを支える周辺機器 自己組織化によるウェハレベル三次元集積化技術
福島誉史, 小柳光正, 田中徹
M & E 36 (1) 123-125 2009年
ISSN:0286-1550
-
Characterization of metal nanodots nonvolatile memory (シリコン材料・デバイス)
裴 艶麗, 西嶋 雅彦, 福島 誉史, 田中 徹, 小柳 光正
電子情報通信学会技術研究報告 108 (80) 83-88 2008年6月9日
出版者・発行元:一般社団法人電子情報通信学会ISSN:0913-5685
-
MEMS-半導体横方向配線技術 II:配線基板へのMEMSチップのセルフアセンブリ
今野隆行, 福島誉史, 菊池宏和, 佐藤圭悟, 田中徹, 小柳光正
応用物理学関係連合講演会講演予稿集 55th (2) 2008年
-
三次元LSI技術を用いた人工視覚と脳埋め込み電極
小柳光正, 福島誉史, 田中徹
応用物理学関係連合講演会講演予稿集 55th 2008年
-
自己組織化ウェーハ張り合わせによる三次元集積化技術
福島誉史, 田中徹, 小柳光正
電子情報通信学会技術研究報告 107 (481(SDM2007 263-272)) 2008年
ISSN:0913-5685
-
MEMS-半導体横方向配線技術 IV:インプリント技術を用いたマイクロバンプ形成
菊池宏和, 山田裕介, 福島誉史, 田中徹, 小柳光正
応用物理学関係連合講演会講演予稿集 55th (2) 2008年
-
高密度記録のためのSi両面電極の開発
小林吏悟, 佐藤圭悟, 小宮謙, 管野壮一郎, 福島誉史, 坂本一寛, 田中徹, 片山統裕, 虫明元, 小柳光正
応用物理学関係連合講演会講演予稿集 55th (3) 2008年
-
FM/I/Nano-Dot FM構造でのスピン電子の磁気トンネル効果
BEA JiChel, BEA JiChel, MURUGESAN M., MURUGESAN M., YIN ChengKuan, 福島誉史, 田中徹, 寒川誠二, 河野省三, 佐道泰造, 宮尾正信, 名取研二, 小柳光正
応用物理学関係連合講演会講演予稿集 55th (2) 2008年
-
MEMS-半導体横方向配線技術 V:高透磁率膜上に形成したインダクタの基本特性
木野久志, YIN C.K., JEON W.C, 小宮謙, 清山浩司, 福島誉史, 田中徹, 小柳光正
応用物理学関係連合講演会講演予稿集 55th (2) 2008年
-
シリコン窒化膜中に埋め込んだタングステンナノドットフローティングゲートMOSキャパシタのメモリ特性
PEI Y, 福島誉史, 田中徹, 小柳光正
応用物理学関係連合講演会講演予稿集 55th (2) 2008年
-
キャビティ構造を有するMEMSチップのセルフアセンブリ
今野隆行, 小林吏悟, 福島誉史, 田中徹, 小柳光正
応用物理学会学術講演会講演予稿集 69th (2) 2008年
-
三次元LSIを搭載した光インターポーザのためのテーパTSVの形成
乗木暁博, 藤原誠, 藤原誠, 福島誉史, 田中徹, 小柳光正
応用物理学会学術講演会講演予稿集 69th (3) 2008年
-
直接接合法を用いたマイクロ流路付Siプローブの開発
菅野壮一郎, 小林吏悟, 福島誉史, 坂本一寛, 片山統裕, 虫明元, 田中徹, 田中徹, 小柳光正
応用物理学会学術講演会講演予稿集 69th (3) 2008年
-
High-k絶縁膜を有するタングステンナノドットフローティングゲートMOSキャパシタのメモリ特性
PEI Y., 西嶋雅彦, 福島誉史, 田中徹, 小柳光正
応用物理学会学術講演会講演予稿集 69th (2) 2008年
-
MEMS-半導体横方向配線技術I:フレキシブル基板へのLSIチップのセルフアセンブリ
福島誉史, 今野隆行, 田中徹, 小柳光正
応用物理学関係連合講演会講演予稿集 55th (2) 2008年
-
眼球内撮像型人工網膜システムで用いるTiN刺激電極のインピーダンス特性
佐藤圭悟, 小宮謙, 小林貴史, 小林吏悟, 福島誉史, 富田浩史, 菅野江里子, 栗野浩之, 田中徹, 玉井信, 小柳光正
日本生体医工学会大会プログラム・論文集(CD-ROM) 47th ROMBUNNO.PS2-6-11 2008年
-
眼球内人工網膜チップへの電力供給用2次コイルの開発
小宮謙, 佐藤圭吾, 小林貴史, 小林吏悟, 海法克享, 福島誉史, 富田浩史, 栗野浩之, 田中徹, 玉井信, 小柳光正, 小柳光正
応用物理学関係連合講演会講演予稿集 55th (3) 1360 2008年
-
無線通信による出力電流調整可能な人工網膜チップの設計
小林貴史, 小宮謙, 佐藤圭悟, 清山浩司, 福島誉史, 富田浩史, 栗野浩之, 田中徹, 玉井信, 小柳光正, 小柳光正
応用物理学関係連合講演会講演予稿集 55th (3) 1359 2008年
-
3次元積層型人工網膜チップ実装のためのフレキシブル基板上におけるマイクロバンプ形成
佐藤圭悟, 小宮謙, 小林貴史, 小林吏悟, 福島誉史, 富田浩史, 栗野浩之, 田中徹, 小柳光正, 小柳光正
応用物理学関係連合講演会講演予稿集 55th (3) 1360 2008年
-
Tungsten Through-Si Via (TSV) Technology for Three-Dimensional LSIs
KIKUCHI Hirokazu, YAMADA Yusuke, ALI Atif Mossad, LIANG Jun, FUKUSHIMA Takafumi, TANAKA Tetsu, KOYANAGI Mitsumasa
Extended abstracts of the ... Conference on Solid State Devices and Materials 2007 482-483 2007年9月19日
-
Memory Window Enhancement of MOS Memory Devices with High Density Self-Assembled Tungsten Nano-dot
PEI Yanli, FUKUSHIMA Takafumi, TANAKA Tetsu, KOYANAGI Mitsumasa
Extended abstracts of the ... Conference on Solid State Devices and Materials 2007 242-243 2007年9月19日
-
New Reconfigurable Memory Architecture for Parallel Image Processing LSI with Three-Dimensional Structure
KODAMA Shigeo, AMANO Daijiro, SUGIMURA Takeaki, FUKUSHIMA Takafumi, TANAKA Tetsu, KOYANAGI Mitsumasa
Extended abstracts of the ... Conference on Solid State Devices and Materials 2007 1064-1065 2007年9月19日
-
Development of Power Supply System for Three-Dimensionally Staked Retinal Prosthesis Chip
KOMIYA Ken, KOBAYASHI Risato, KOBAYASHI Takafumi, SATO Keigo, FUKUSHIMA Takafumi, TOMITA Hiroshi, KURINO Hiroyuki, TANAKA Tetsu, TAMAI Makoto, KOYANAGI Mitsumasa
Extended abstracts of the ... Conference on Solid State Devices and Materials 2007 658-659 2007年9月19日
-
VCSEL のインターコネクションへの応用
小柳光正, 福島誉史, 田中徹, 藤原誠
O Plus E (特集:VCSELの最先端技術と応用, そして将来展望) 29 (4) 348-352 2007年4月
出版者・発行元:新技術コミュニケーションズ -
金属ナノドットフローティングゲートMOSキャパシタのメモリ特性
PEI Y., 福島誉史, 田中徹, 小柳光正
応用物理学会学術講演会講演予稿集 68th (2) 2007年
-
3次元実装技術とスーパーチップインテグレーション
田中徹, 福島誉史, 小柳光正
電子情報通信学会技術研究報告 106 (467(CPM2006 129-154)) 2007年
ISSN:0913-5685
-
VCSELの最先端技術と応用,そして将来展望 VCSELのインターコネクションへの応用
小柳光正, 福島誉史, 田中徹, 藤原誠, 藤原誠
O plus E (329) 2007年
ISSN:0911-5943
-
三次元集積化のための高アスペクト比シリコンエッチング技術の開発
菊池宏和, 山田裕介, 福島誉史, 田中徹, 小柳光正
エレクトロニクス実装学会講演大会講演論文集 21st 2007年
ISSN:1880-4616
-
3次元積層型LSIチップを用いた人工視覚システム
田中徹, 福島誉史, 小柳光正
日本生体医工学会大会プログラム・論文集(CD-ROM) 46th 2007年
-
Investigation of FePt Nano-Dots Fabricated by Self-Assembled Nano-Dot Deposition Method Using X-ray Photoelectron Spectroscopy
M. Murugesan, J. C. Bea, C-K. Yin, H. Nohira, E. Ikenaga, T. Hattori, M. Nishijima, T. Fukushima, T. Tanaka, M.Miyao, M. Koyanagi
Extended abstracts of the ... Conference on Solid State Devices and Materials CDROM 1026-1027 2007年
-
積層型人工網膜チップへの電力供給方法の開発-ショットキーバリアダイオードの設計と試作-
小宮謙, 渡部泰一郎, 小林貴史, 小林吏悟, 福島誉史, LI H. G., 富田浩史, 菅野江里子, 栗野浩之, 田中徹, 玉井信, 小柳光正, 小柳光正
応用物理学関係連合講演会講演予稿集 54th (3) 1376 2007年
-
人工網膜システム用可変バイアス電圧生成回路の設計
小林貴史, 小宮謙, 渡部泰一郎, 福島誉史, LI H. G., 富田浩史, 菅野江里子, 栗野浩之, 田中徹, 玉井信, 小柳光正, 小柳光正
応用物理学関係連合講演会講演予稿集 54th (3) 1375 2007年
-
人工網膜用データ受信回路の試作と評価
小林貴史, 小宮謙, 佐藤圭悟, 福島誉史, 富田浩史, 栗野浩之, 田中徹, 玉井信, 小柳光正, 小柳光正
応用物理学会学術講演会講演予稿集 68th (3) 1307 2007年
-
網膜刺激電極のインピーダンス特性に対する電極材料および寸法の影響
佐藤圭悟, 小宮謙, 小林貴史, 小林吏悟, 福島誉史, 富田浩史, 栗野浩之, 田中徹, 小柳光正, 小柳光正
応用物理学会学術講演会講演予稿集 68th (3) 1308 2007年
-
三次元積層型人工網膜チップへの電力供給用2次コイルの開発
小宮謙, 小林貴史, 佐藤圭吾, 小林吏悟, 福島誉史, 富田浩史, 栗野浩之, 田中徹, 玉井信, 小柳光正, 小柳光正
応用物理学会学術講演会講演予稿集 68th (3) 1308 2007年
-
三次元集積回路技術を用いた並列画像処理のための再構成可能な積層型メモリシステムの設計
天野 大二朗, 杉村 武昭, 小西 雄太, 福島 誉史, 田中 徹, 小柳 光正
情報処理学会研究報告システムLSI設計技術(SLDM) 2006 (111) 147-152 2006年10月27日
出版者・発行元:一般社団法人情報処理学会ISSN:0919-6072
-
三次元集積回路を用いた並列画像処理システムのためのばらつき補正回路を有する並列AD変換器の設計
小西 雄太, 杉村 武昭, 天野 大二朗, 福島 誉史, 田中 徹, 小柳 光正
情報処理学会研究報告システムLSI設計技術(SLDM) 2006 (111) 153-158 2006年10月27日
出版者・発行元:一般社団法人情報処理学会ISSN:0919-6072
-
New Magnetic Nano-Dot Memory with FePt Nano-Dots
YIN Cheng-Kuan, BEA Ji-Chel, MURUGESAN Mariappan, OOGANE Mikihiko, FUKUSHIMA Takafumi, TANAKA Tetsu, NATORI Kenji, MIYAO Masanobu, KOYANAGI Mitsumasa
Extended abstracts of the ... Conference on Solid State Devices and Materials 2006 994-995 2006年9月13日
-
Low Power Spin-Transfer MRAM Writing Scheme with Selective Word Line Bootstrap
SUGIMURA Takeaki, SAKAGUCHI Takeshi, AMANO Daijiro, FUKUSHIMA Takafumi, TANAKA Tetsu, KOYANAGI Mitsumasa
Extended abstracts of the ... Conference on Solid State Devices and Materials 2006 602-603 2006年9月13日
-
Sub-Atmospheric Chemical Vapor Deposition Process for Chip-to-Wafer 3-Dimensional Integration
KIKUCHI Hirokazu, YAMADA Yusuke, ALI Atif Mossad, FUKUSHIMA Takafumi, TANAKA Tetsu, KOYANAGI Mitsumasa
Extended abstracts of the ... Conference on Solid State Devices and Materials 2006 490-491 2006年9月13日
-
Evaluation of Electrical Stimulus Current to Retina Cells for Retinal Prosthesis by Using Platinum-Black (Pt-b) Stimulus Electrode Array
WATANABE Taiichiro, KOMIYA Ken, KOBAYASHI Takafumi, KOBAYASHI Risato, FUKUSHIMA Takafumi, TOMITA Hiroshi, SUGANO Eriko, SATO Manami, KURINO Hiroyuki, TANAKA Tetsu, TAMAI Makoto, KOYANAGI Mitsumasa
Extended abstracts of the ... Conference on Solid State Devices and Materials 2006 890-891 2006年9月13日
-
ウェーハレベル三次元集積化技術の開発
福島誉史, 山田祐介, 菊池宏和, 田中徹, 小柳光正
エレクトロニクス実装学会講演大会講演論文集 20th 2006年
ISSN:1880-4616
-
脳深部解析のためのSi製測定探針の開発
小林吏悟, 渡部泰一郎, 坂本一寛, 片山統裕, 本波啓太, 出口淳, 小宮謙, 福島誉史, 栗野浩之, 田中徹, 虫明元, 小柳光正
応用物理学関係連合講演会講演予稿集 53rd (3) 2006年
-
神経細胞同時多点計測のためのSi微小探針アレイの開発
小林吏悟, 渡部泰一郎, 小宮謙, 福島誉史, 坂本一寛, 栗野浩之, 田中徹, 片山統裕, 虫明元, 小柳光正
応用物理学会学術講演会講演予稿集 67th (3) 2006年
-
3次元集積化技術とリコンフィギャラブル3D-SoC
小柳光正, 杉村武昭, 福島誉史, 田中徹
電子情報通信学会技術研究報告 106 (49(RECONF2006 1-10)) 2006年
ISSN:0913-5685
-
三次元集積回路技術を用いた並列画像処理のための再構成可能な積層型メモリシステムの設計
天野大二朗, 杉村武昭, 小西雄太, 福島誉史, 田中徹, 小柳光正
電子情報通信学会技術研究報告 106 (317(ICD2006 127-142)) 2006年
ISSN:0913-5685
-
チップ-ウェーハ張り合わせによる三次元LSI作製技術
福島誉史, 山田裕介, 菊池宏和, 田中徹, 小柳光正
応用物理学会学術講演会講演予稿集 67th (2) 2006年
-
In-situアニールによる自己組織化FePt磁気ナノドットの形成
YIN C. K., BEA J. C., BEA J. C., CHOI H., CHOI H., 西嶋雅彦, 福島誉史, 田中徹, 名取研二, 宮尾正信, 小柳光正
応用物理学関係連合講演会講演予稿集 53rd (1) 2006年
-
三次元集積回路を用いた並列画像処理システムのためのばらつき補正回路を有する並列AD変換器の設計
小西雄太, 杉村武昭, 天野大二朗, 福島誉史, 田中徹, 小柳光正
電子情報通信学会技術研究報告 106 (317(ICD2006 127-142)) 2006年
ISSN:0913-5685
-
三次元集積化のための高ステップカバレージ絶縁膜の形成
菊池宏和, 山田裕介, 福島誉史, 田中徹, 小柳光正
応用物理学会学術講演会講演予稿集 67th (2) 2006年
-
ノルボルネン樹脂光導波路を用いた光電気複合基板の開発
藤原誠, 藤原誠, 白土洋次, 尾張洋史, 渡辺啓, 松山睦宏, 高浜啓造, 森哲也, 宮尾憲治, 長木浩司, 福島誉史, 田中徹, 小柳光正
応用物理学会学術講演会講演予稿集 67th (3) 2006年
-
積層型人工網膜に用いるPt刺激電極のin-vivo評価
渡部泰一郎, 本波啓太, 出口淳, 小林吏悟, 小宮謙, 福島誉史, 富田浩史, 菅野江里子, 佐藤まなみ, 栗野浩之, 田中徹, 玉井信, 小柳光正, 小柳光正
応用物理学関係連合講演会講演予稿集 53rd (3) 1381 2006年
-
積層型人工網膜チップ用基本回路の改良
小林貴史, 出口淳, 渡部泰一郎, 小宮謙, 小林吏悟, 福島誉史, LI H., 富田浩史, 菅野江里子, 栗野浩之, 田中徹, 玉井信, 小柳光正, 小柳光正
応用物理学会学術講演会講演予稿集 67th (3) 1187 2006年
-
眼球内埋め込み型人工網膜システムへの電力供給方法の開発
小宮謙, 渡部泰一郎, 小林吏悟, 小林貴史, 福島誉史, LI H., 富田浩史, 菅野江里子, 栗野浩之, 田中徹, 玉井信, 小柳光正, 小柳光正
応用物理学会学術講演会講演予稿集 67th (3) 1188 2006年
-
視覚にせまる最先端技術 三次元集積化人工網膜デバイス
小柳光正, 福島誉史, 田中徹, 富田浩史
光アライアンス 17 (11) 16-21 2006年
ISSN:0917-026X
-
Evaluation of Electrical Stimulus Current to Retina Cells for Retinal Prosthesis
MOTONAMI Keita, WATANABER Taiichiro, DEGUCHI Jun, FUKUSHIMA Takafumi, TOMITA Hiroshi, SUGANO Eriko, SATO Manami, KURINO Hiroyuki, TAMAI Makoto, KOYANAGI Mitsumasa
Extended abstracts of the ... Conference on Solid State Devices and Materials 2005 464-465 2005年9月13日
-
マルチチップボンディング技術を用いた脳インプラント集積化デバイスの開発
渡部泰一郎, 小林吏悟, 坂本一寛, 本波啓太, 小宮謙, 出口淳, 福島誉史, 虫明元, 栗野浩之, 小柳光正
応用物理学会学術講演会講演予稿集 66th (3) 2005年
-
チップ間光インターコネクションを有するSRAMメモリモジュールの試作
栗原宏文, 二藤部隆太郎, 福島誉史, 栗野浩之, 小柳光正
応用物理学関係連合講演会講演予稿集 52nd (3) 2005年
-
基板バイアス電圧制御プリアンプを用いたMRAMの低消費電力読み出し手法
杉村武昭, 出口淳, CHOI H., 坂口武史, OH H., 福島誉史, 小柳光正
応用物理学会学術講演会講演予稿集 66th (2) 2005年
-
FePt磁気ナノドットの不揮発性メモリへの適用
YIN Cheng-Kuan, BEA JiChel, HONG YounGi, 坂口武史, OH Hyuck-Jae, 福島誉史, 栗野浩之, 名取研二, 宮尾正信
応用物理学関係連合講演会講演予稿集 52nd (3) 2005年
-
チップ・ウェーハ張り合わせによる三次元集積化技術
菊池宏和, 木島均, 大石壮一郎, 山田裕介, 福島誉史, 栗野浩之, 小柳光正
応用物理学関係連合講演会講演予稿集 52nd (2) 2005年
-
犠牲サイドウォール手法による極薄SOI MOSFETの作製
坂口武史, OH Hyuckjae, BEA Jicheol, SHIM JeoungChill, 福島誉史, 栗野浩之, 小柳光正
応用物理学関係連合講演会講演予稿集 52nd (2) 2005年
-
動き検出・エッジ検出同時処理可能なCMOSイメージセンサの開発
辻孝司, 出口淳, 杉村武昭, 福島誉史, 小柳光正
映像情報メディア学会技術報告 29 (61(IST2005 74-80)) 2005年
ISSN:1342-6893
-
三次元集積化技術のための高アスペクト比エッチング技術の開発
菊池宏和, 山田裕介, 福島誉史, 小柳光正
応用物理学会学術講演会講演予稿集 66th (1) 2005年
-
配線長分布を用いた三次元集積回路の総配線長・最大配線長の評価
出口淳, 中谷好博, 杉村武昭, 福島誉史, 小柳光正
応用物理学会学術講演会講演予稿集 66th (2) 2005年
-
チップ間光インターコネクションのためのビームリード電極を用いたVCSEL実装技術
栗原宏文, 福島誉史, 小柳光正
応用物理学会学術講演会講演予稿集 66th (3) 2005年
-
積層型人工眼システム用刺激電極ケーブルのin-vivo評価
本波啓太, 渡部泰一郎, 出口淳, 福島誉史, 菅野江里子, 佐藤まなみ, 富田浩史, 栗野浩之, 玉井信
応用物理学関係連合講演会講演予稿集 52nd (3) 1465 2005年
-
眼内埋め込み用積層型人工網膜のための刺激パルス電流のin-vivo評価
本波啓太, 渡部泰一郎, 出口淳, 福島誉史, 富田浩史, 菅野江里子, 佐藤まなみ, 栗野浩之, 玉井信, 小柳光正
応用物理学会学術講演会講演予稿集 66th (3) 1138 2005年
-
三次元集積回路のための層間絶縁膜を貫通する高アスペクトホールの形成
菊池宏和, 山田裕介, 福島誉史, 栗野浩之, 小柳光正
電子情報通信学会大会講演論文集 2004 2004年
ISSN:1349-1369
-
活動電位の同時多点計測のための集積化神経インプラントの開発
渡部泰一郎, 本波啓太, 坂本一寛, 出口淳, 福島誉史, SHIM J C, 虫明元, 栗野浩之, 小柳光正
応用物理学会学術講演会講演予稿集 65th (3) 2004年
-
Ultimate Functional Multi-Electrode System (UFMES) Based on Multi-Chip Bonding Technique
Taiichiro Watanabe, Keita Motonami, Kazuhiro Sakamoto, Jun Deguchi, Takafumi Fukushima
Extended Abstracts of the 2004 International Conference on Solid State Devices and Materials 2004年
-
錐状刺激電極を有する人工眼システムに関する研究
本波啓太, 渡部泰一郎, 出口淳, 福島誉史, 富田浩史, SHIM J-C, 玉井信, 栗野浩之, 小柳光正
応用物理学会学術講演会講演予稿集 65th (3) 1146 2004年
-
ポリイミドを組み込んだ超高速非線形伝送線路
八木修一, 板谷太郎, 川浪仁志, GORWADKAR S, 上村貴之, 福島誉史, 板谷博, 友井正男, 鷹野致和
明星大学理工学部研究紀要 (39) 2003年
ISSN:1346-7239
-
反応現像型感光性エンプラ ポリマー構造と感光特性との関連
大山俊幸, 川上由紀子, 喜多村明, 福島誉史, 友井正男
高分子学会予稿集 52 (12) 2003年
-
反応現像型感光性含フッ素ポリイミド
川上由紀子, 福島誉史, 大山俊幸, 友井正男
高分子学会予稿集 52 (4) 2003年
-
low-kポリイミドを組み込んだ伝送線路のネットワークアナライザーによる評価
八木修一, 板谷太郎, 川浪仁志, GORWADKAR S, 上村貴之, 福島誉史, 板谷博, 友井正男, 鷹野致和
応用物理学関係連合講演会講演予稿集 50th (3) 2003年
-
反応現像型感光性ポリアリレート:ポリマー構造と感光特性の関連
喜多村明, 福島誉史, 大山俊幸, 友井正男
高分子学会予稿集 52 (4) 2003年
-
Positive Photosensitive Polyimides Based on Novel Imaging Principle: Reaction Development Patterning (RDP)
T. Fukushima, T. Oyama, M. Tomoi
POLYIMIDE & HIGH PERFORMANCE POLYMERES: STEPI 6 229-238 2002年12月
-
エンプラを感光性ポリマーに変える新原理:反応現像画像形成法
大山俊幸, 福島誉史, 友井正男
マテリアルステージ 2 (4) 90-96 2002年4月
出版者・発行元:技術情報協会ISSN:1346-3926
-
光導電スイッチと集積化されたIow-kポリイミドを取り入れた超高速伝送線路
八木修一, 板谷太郎, 川浪仁志, GORWADKAR S, 上村貴之, 福島誉史, 板谷博, 友井正男, 鷹野致和
応用物理学関係連合講演会講演予稿集 49th (3) 2002年
-
反応現像型感光性ポリアリレート
大山俊幸, 喜多村明, 福島誉史, 飯島孝雄, 友井正男
高分子学会予稿集 51 (4) 2002年
-
イオン結合型ネガ型感光性ポリイミドの開発
福島誉史, 大山俊幸, 友井正男
高分子学会予稿集 51 (12) 2002年
-
反応現像型感光性エンジニアリングプラスチック
大山俊幸, 川上由紀子, 喜多村明, 福島誉史, 友井正男
高分子学会予稿集 51 (12) 2002年
-
反応現像型感光性ポリカーボネート
大山俊幸, 川上由紀子, 福島誉史, 飯島孝雄, 友井正男
高分子学会予稿集 51 (4) 2002年
-
反応現像型感光性ポリエーテルイミド
大山俊幸, 川上由紀子, 福島誉史, 飯島孝雄, 友井正男
高分子学会予稿集 51 (4) 2002年
-
イオン結合型ネガ型感光性ポリイミドの開発
福島誉史, 大山俊幸, 飯島孝雄, 友井正男
高分子学会予稿集 51 (4) 160-163 2002年
-
光機能性高分子材料の最新動向 反応現像型フォトレジスト
福島誉史, 大山俊幸, 友井正男
機能材料 22 (5) 24-33 2002年
ISSN:0286-4835
-
感光性ポリイミドの新しいコンセプト 反応現像画像形成(RDP)原理
福島誉史, 大山俊幸, 飯島孝雄, 友井正男
ポリマー材料フォーラム講演要旨集 10th 2001年
-
感光性ポリイミドの新しいコンセプト 反応現像画像形成原理
福島誉史, 友井正男
高分子加工 50 (12) 553-560 2001年
ISSN:0023-2564
-
ポジ型感光性ブロック共重合ポリイミドのCSP-IPへの適用
松本俊一, 板谷博, 金行洲, 福島誉史, 上村貴之, 友井正男
エレクトロニクス実装学術講演大会講演論文集 14th 2000年
ISSN:1346-2199
-
ポジ型感光性ブロック共重合ポリイミドのレジスト特性
福島誉史, 大山俊幸, 飯島孝雄, 友井正男, 板谷博
高分子学会予稿集 49 (4) 2000年
-
ポジ型感光性ブロック共重合ポリイミドのリソグラフィー特性
福島誉史, 大山俊幸, 飯島孝雄, 友井正男, 板谷博
ポリマー材料フォーラム講演要旨集 9th 2000年
-
ペンダントフェノール性水酸基含有ポリイミドの合成とフォトレジストへの応用
早川光太郎, 福島誉史, 大山俊彦, 飯島孝雄, 友井正男, 板谷博
高分子学会予稿集 49 (10) 2000年
-
ブロック共重合法によるポジ型感光性ポリイミドによる0.4μmパターニング
板谷太郎, GORWADKAR S, 山本芳久, 福島誉史, 古室昌徳, 坂本統徳, 板谷博
応用物理学会学術講演会講演予稿集 60th (2) 1999年
-
ポジ型感光性ポリイミドの開発
福島誉史, 細川勝元, 飯島孝雄, 友井正男, 板谷博
エレクトロニクス実装学術講演大会講演論文集 13th 1999年
ISSN:1346-2199
-
ポジ型感光性ポリイミドの開発
細川勝元, 福島誉史, 飯島孝雄, 友井正男, 板谷博
高分子学会予稿集 47 (10) 1998年
書籍等出版物 8
-
ポリイミドの高機能設計と応用技術
技術情報協会
技術情報協会 2022年8月
ISBN: 9784861048876
-
マイクロLEDディスプレイ ~市場と要素技術の開発動向~
福島誉史
Science & Technology 2021年8月
-
Flexible, Wearable, and Stretchable Electronics 1st Edition (Editor: Katsuyuki Sakuma)
CRC Press 2020年11月
-
次世代ディスプレイの応用に向けた材料、プロセス技術の開発動向
福島誉史
技術情報協会 2020年2月
-
3D Integration in VLSI Circuits: Implementation Technologies and Application (Editor: Katsuyuki Sakuma)
CRC Press 2018年4月
-
Handbook of 3D Integration – Volume 3: 3D Process Technology (Editors: Phil Garrou, Mitsumasa Koyanagi, Peter Ramm)
Wiley-VCH 2014年6月
-
3D Integration for VLSI Systems
Pan Stanford Publishing 2011年5月
-
MEMS/NEMS工学大全
福島誉史
テクノシステム 2009年4月22日
講演・口頭発表等 98
-
<ECTC2023での発表を解説> 先端半導体パッケージング・実装技術の研究開発動向 ~チップレット・RDLインターポーザ・Siブリッジ・FOWLPに加え、50件のハイブリッド接合技術を紹介~ 招待有り
福島 誉史
サイエンス&テクノロジー主催セミナー 2023年9月14日
-
先端半導体パッケージングの技術トレンド: IEDMやECTCで発表されたハイブリッド接合やチップレットインテグレーション技術を中心に、3D-IC/TSVからFOWLPまで ~材料を含めた個別プロセスを詳細に解説~ 招待有り
福島 誉史
情報機構主催セミナー 2023年7月27日
-
短TATを実現する3D-ICのラピッドプロトタイピングと最新技術 招待有り
Fukushima Takafumi
くまもと3D連携コンソーシアム 第2回オープンセミナー 2023年7月27日
-
三次元実装/TSVおよび先端半導体パッケージの最新製造技術・信頼性解析技術と研究開発動向 招待有り
福島誉史
R&D支援センター主催セミナー 2023年5月19日
-
3D Super Chip Concept to Build a New Era of Chiplet and Heterogeneous Integration 招待有り
Takafumi Fukushima
ICEP (International Conference on Electronics Packaging) 2023年4月21日
-
進化する三次元実装・集積化技術 招待有り
福島誉史
第37回ネプコン ジャパン(エレクトロニクス開発・実装展)最先端のロジックおよびパワーデバイスの3D実装技術: ISP-2 半導体・センサ パッケージング展 専門セミナー② 2023年1月
-
先端半導体パッケージングの技術トレンドと今後の方向性、課題 招待有り
福島誉史
情報機構主催セミナー 2022年10月25日
-
<ECTC2022での発表を解説>先端半導体パッケージング・実装技術の研究開発動向 招待有り
福島誉史
Science & Technology主催セミナー 2022年9月27日
-
先端半導体パッケージングの技術トレンド: 3D-IC/チップレットからFOWLP、FHEまで ~材料を含めた個別プロセスを詳細に解説~ 招待有り
福島誉史
情報機構主催のセミナー 2022年7月28日
-
In-mold Flexible Hybrid Electronics (iFHE) Based on Holistic System Integration with FOWLP, 3D-IC/TSV, and Chiplets 招待有り
福島誉史
the 20th International Symposium on the Physics of Semiconductors and Applications (ISPSA 2022) 2022年7月17日
-
半導体産業のこれからを担う3D-IC実装技術の現状と課題、アカデミアからの提案 招待有り
福島誉史
一般社団法人日本電子回路工業会(JPCA)が主催するJPCA Show 2022などを含む「電子機器トータルソリューション展2022」(東京ビッグサイト)一般社団法人エレクトロニクス実装学会(JIEP)主催 JIEP最先端実装技術シンポジウム 2022年6月17日
-
新たな実装技術で創るフレキシブルデバイス”インモールド・フレキシブル・ハイブリッド・エレクトロニクス(iFHE) 招待有り
福島誉史
一般社団法人日本電子回路工業会(JPCA)が主催するJPCA Show 2022などを含む「電子機器トータルソリューション展2022」(東京ビッグサイト) 2022年6月16日
-
三次元実装/ TSV および 先端半導体パッケージの最新製造技術/信頼性解析技術と研究開発動向 招待有り
福島誉史
R&D支援センター主催のセミナー 2022年4月26日
-
東北大学GINTIの取り組みとダイレベル&マルチチップ・ツー・ウエハ三次元集積 招待有り
福島誉史
第112回ミニマル3DICファブ開発研究会コア・オープン会議 2022年3月29日
-
先端半導体パッケージング技術と最新動向: ~FOWLP, 3D-IC/TSV, 各種インターポーザ, チップレット, Si Bridge等で多様化する実装形態の進化について~ 招待有り
福島誉史
サイエンス&テクノロジー主催セミナー 2022年3月18日
-
東北大発3D-IC 試作製造拠点GINTI の取り組みと多様化する先端半導体パッケージングの動向 招待有り
福島誉史
日本実装技術振興協会主催 第213 回定例講演会『先端半導体後工程(More than Moore)技術』 2022年3月17日
-
三次元実装/TSVを基盤としたヘテロインテグレーション技術の研究開発動向 招待有り
福島誉史
電子情報通信学会総合大会 企画講演セッション「電子デバイスの性能を最大限に引き出す高周波・高出力実装技術」 2022年3月16日
-
先端3DIC半導体パッケージの最新技術と開発状況(先端3DIC半導體封裝最新技術與開發動向) 招待有り
福島誉史
三建産情(台湾)主催の公開セミナー(台湾身分証明書所有者限定) 2022年2月17日
-
先端半導体パッケージング技術で創るインモールド・フレキシブル・ハイブリッド・エレクトロニクス(iFHE) 招待有り
福島誉史
エレクトロニクス実装学会(JIEP) 電子部品・実装技術委員会 プリンタブルデバイス研究会主催 2022年2月16日
-
半導体事業を牽引する3D実装の技術動向とホリスティック実装工学の歩み 招待有り
福島誉史
よこはま高度実装技術コンソーシアム(YJC)主催 第52回YJC実装技術セミナー 2021年12月8日
-
3D-IC/TSVの最新動向と自己組織化による三次元実装/ヘテロ集積 招待有り
福島誉史
公益社団法⼈化学⼯学会 エレクトロニクス部会主催 2021先端技術シンポジウム: 次世代半導体の展望 〜原理と⽣産技術〜 2021年12月7日
-
半導体パッケージング技術の最新動向: FOWLP, 3D-IC/TSV, チップレットが融合する実装形態の進化について 招待有り
福島誉史
トリケップス主催セミナー 2021年11月25日
-
未来の産業を担う三次元積層半導体(3D-IC)の現況と今後の展開 ―東北大学3D-IC研究開発拠点「GINTI」の活動成果よりー 招待有り
福島誉史
未来科学オープンセミナー 2021年11月19日
-
iFHE: In-Mold Flexible Hybrid Electronics Using Fan-Out Wafer-Level Packaging with Chiplets 招待有り
Takafumi Fukushima
2021 Materials Research Society Taiwan International Conference (MRSTIC)
-
300mmウエハを用いた三次元積層型半導体チップ試作製造拠点GINTIの取り組みと研究開発動向 招待有り
福島誉史
第33回マイクロエレクトロニクス研究会 2021年11月13日
-
インモールド・フレキシブル・ハイブリッド・エレクトロニクス(FHE)と医療応用 招待有り
福島誉史
NEDIA 第8回 電子デバイスフォーラム京都(2021) 2021年10月29日
-
In-Mold Flexible Hybrid Electronics (FHE) Based on Advanced Wafer-Level Packaging with Chiplets 招待有り
Takafumi Fukushima
34th International Microprocesses and Nanotechnology Conference (MNC 2021) 2021年10月28日
-
ECTC2021を解説: 先端半導体パッケージの開発動向と材料・プロセス技術” 招待有り
福島誉史
サイエンス&テクノロジー主催セミナー 2021年10月12日
-
Heterogeneous, 3D, and Flexible System Integration Technology Based on Chiplet-on-Wafer Assembly 招待有り
福島誉史
一般社団法人電子実装工学研究所(IMSI) 接合界面創成技術研究会(LTB 研究会) 2021年9月16日
-
自己組織化実装によるチップレットのアセンブリとインターコネクト~基礎から応用、最近の3D-IC/FOWLP/VCSEL・μLEDの集積化まで~ 招待有り
福島誉史
サイエンス&テクノロジー主催セミナー 2021年8月5日
-
ホリスティック・システム・インテグレーションに向けた三次元実装の技術動向と課題 招待有り
福島誉史
エレクトロニクス実装学会(JIEP)主催 先端ファブリケーション研究会 第8回公開研究会 2021年7月28日
-
先端半導体のパッケージング技術: FOWLPから3D-IC/TSV、チップレット、FHEやμLEDまで ~半導体後工程最大の国際会議ECTCを中心に個別プロセスや材料を詳細解説~ 招待有り
福島誉史
情報機構主催セミナー 2021年7月20日
-
Chiplet-Based Advanced Packaging Technology from 3D/TSV to FOWLP/FHE 招待有り
Takafumi Fukushima
The 2021 Symposia on VLSI Technology and Circuits, Technology / Circuits Joint Focus Session 2021年6月17日
-
自己組織化実装とマイクロLEDディスプレイへの応用 招待有り
福島誉史
技術情報協会主催マイクロLEDディスプレイの実装、製造技術と市場動向に関するセミナー 2021年5月25日
-
三次元実装/TSVおよび先端半導体パッケージの最新技術と研究開発動向 招待有り
福島誉史
R&D支援センター主催セミナー 2021年4月22日
-
先端半導体パッケージのキーテクノロジーと研究動向 ―国際会議ECTCの発表を中心にTSV,Cu/Cu接合,FOWLP,チップレット, FHE, μLED, 自己組織化実装まで― 招待有り
福島誉史
サイエンス&テクノロジー主催セミナー 2021年2月25日
-
Flexible In-Mold Electronics: Advanced FHE Technologies and Applications 招待有り
Takafumi Fukushima
2021FLEX 2021年2月24日
-
Thin Film Deposition, Characterization, and Application of Polyimides by Vapor-Phase Polymerization 招待有り
Takafumi Fukushima
Webinar on Polymer Science and Chemistry (LongDom Conferences) 2020年11月8日
-
Multilithic 3D and Heterogeneous Integration Using Capillary Self-Assembly 招待有り
Takafumi Fukushima
”, 4th IEEE Electron Devices Technology and Manufacturing Conference (EDTM)
-
Multichip-to-Wafer 3D Integration Technology 招待有り
Takafumi Fukushima
2020 International Conference on Electronics Packaging (ICEP 2020)
-
Self-Assembly Based 3D Integration: Capillary Self-Assembly and Directed Self-Assembly 招待有り
Takafumi Fukushima
Huawei Workshop in 3D-IC 2019年11月22日
-
FOWLP-based Flexible Hybrid Sensor Systems with Dieletsand 3D-IC 招待有り
Takafumi Fukushima
The 18th International Symposium on Microelectronics and Packaging and 21st International Conference on Electronic Materials and Packaging (ISMP-EMAP 2019) 2019年11月15日
-
誘導自己組織化配線を用いた三次元積層型集積回路の高性能化(Performance Scaling of 3D Integration with DSA Technology) 招待有り
福島誉史
日本学術振興会「先端ナノデバイス・材料テクノロジー第151委員会」 平成31年度第4回研究会「機能性デバイスの三次元化とその展開」 2019年11月15日
-
New Flexible Hybrid Electronics Technologies for Biomedical Application 招待有り
Takafumi Fukushima
EMN Meeting on Flexible Electronics 2019年10月22日
-
三次元集積技術を用いたAIチップの開発 招待有り
福島誉史
電気化学会電子材料委員会, 第83回半導体・集積路技術シンポジウム 2019年8月28日
-
自己組織化実装の基礎原理と応用: FOWLPから、FHE、マイクロLEDディスプレイまで 招待有り
福島誉史
技術情報協会セミナー 2019年7月26日
-
New Flexible Hybrid Electronics (FHE) Using Advanced Wafer-Level Packaging Technology 招待有り
Takafumi Fukushima
”, International Congress on Advanced Materials Sciences and Engineering 2019 (AMSE-2019) 2019年7月22日
-
誘導自己組織化による極微細三次元配線形成技術 招待有り
福島誉史
応用物理学会・シリコンテクノロジー分科会多層配線委員会および電子情報通信学会・シリコン材料・デバイス研究会(SDM) 「配線・実装技術と関連材料技術」研究会 2019年2月7日
-
先端三次元積層LSIから高集積FHEへの展開 招待有り
福島誉史
日本学術振興会産学協力研究委員会 情報科学用有機材料 学振142委員会 2018年11月15日
-
三次元実装とフレキシブルハイブリッドエレクトロニクスに(FHE)向けた取り組み 招待有り
福島誉史
, 第155回有機エレクトロニクス研究センター講演会 2018年9月27日
-
Moor’s Law for Packaging 招待有り
Takafumi Fukushima, S. S. Iyer
The 2018 International Conference on Solid-State Devices and Materials (SSDM) 2018年9月12日
-
Capillary Self-Assembly Based Multichip-to-Wafer System Integration Technologies 招待有り
Takafumi Fukushima
The annual International Conference on Manipulation, Automation and Robotics at Small Scales (MARSS) 2018年7月6日
-
人工知能チップの開発に向けた自己組織化ヘテロ集積技術 招待有り
福島誉史
東北大学NICHe戦略セミナーシリーズ(第3回)『考える』イメージ・センサーの将来 2018年7月5日
-
テンポラリー接合を用いたマルチリシック集積化技術 招待有り
福島誉史
日本学術振興会産学協力研究委員会 接合界面創成技術 第191委員会 2018年3月23日
-
自己組織化TSV/3次元実装と高集積フレキシブル・ハイブリッド・エレクトロニクス(FHE)技術 招待有り
福島誉史
第189回高密度実装技術部会 定例会 2018年3月15日
-
SOG/a-Si:Hを用いたテンポラリー接合技術, FOWLPを応用した高集積フレキシブルデバイス基板「FlexTrateTM」 招待有り
福島誉史
第37回IEEE CPMT Society Japan Chapter イブニングミーティング37th IEEE CPMT Society Japan Chapter Evening Meeting 2017年10月6日
-
FlexTrateTM Characterization 国際会議 招待有り
福島 誉史
Flex2017 2017年7月20日
-
Directed Self-Assembly Patterning for 3D LSI 国際会議 招待有り
福島 誉史
INC (International Nanotechnology Conference on Communication and Cooperation) Global Conference and Workshops 2017年5月10日
-
FlexTrate™: High Interconnect Density Fan-Out Wafer Level Processing for Flexible Bio-compatible Electronics 招待有り
Takafumi Fukushima
”, NBMC (Nano-Bio Manufacturing Consortium) Workshop: Blood, Sweat and Tears III 2016年11月6日
-
Self-Assembly Based Multichip-to-Wafer Bonding Technologies for 3D/Hetero Integration 招待有り
Takafumi Fukushima
”, The 230th ECS Meeting: PRiME (Pacific Rim Meeting) 2016年10月5日
-
シリコン貫通配線(TSV)と三次元集積化技術の研究開発動向 招待有り
福島誉史
第32回センサ・マイクロマシンと応用システム」シンポジウム 2015年10月28日
-
高分子材料を用いた三次元集積技術 招待有り
福島誉史
第41回 よこはま高度実装技術コンソーシアム(YJC)実装技術セミナー 2015年6月11日
-
Die-to-Wafer Self-Assembly by Droplet Surface Tension for 3D LSI & Advanced System Integration 招待有り
Takafumi Fukushima
EMN Meeting on Droplets 2015年5月15日
-
3D/TSV技術と最近の動向 招待有り
福島誉史
日本学術振興会 結晶加工と評価技術 第145委員会 2014年12月18日
-
HETEROGENEOUS 3D INTEGRATION FOR INTERNET OF THINGS 招待有り
Takafumi Fukushima
2014 IEEE 12th International Conference on Solid-State and Integrated Circuit Technology (ICSICT 2014) 2014年11月30日
-
気相堆積重合ポリイミドを用いたTSVライナー形成 招待有り
福島誉史
応用物理学会シリコンテクノロジー分科会 第169回 配線技術研究集会 2014年2月28日
-
研究施設の被害と教訓、復興への展望 招待有り
福島誉史
第37回YJC実装技術セミナー 2013年6月13日
-
Development of 3D Integration Technologies and Recent Challenges 招待有り
Takafumi Fukushima
ADMETA Plus 2012 Advanced Metallization Conference 2012:the 22nd Asian Session 2012年10月23日
-
3D Integration Technologies Based on Surface-Tension Driven Multi-Chip Self-Assembly Techniques 招待有り
Takafumi Fukushima
The 222nd ECS Meeting: PRiME (Pacific Rim Meeting) 2012年10月8日
-
先端三次元積層型LSIの技術動向と展望 招待有り
福島誉史
SEMI Forum Japan 2012: TSV/3次元積層化技術セミナー(1)-いよいよ量産へ、ここまで来たTSV技術 2012年6月13日
-
Wafer-Level 3D Integration Technology Using Self-Assembly 招待有り
Takafumi Fukushima
MSPNEX (International Micro System Packaging Forum) 2012 2012年4月12日
-
3D Chip Stacking Technologies and Hetero System Integration (三次元チップ積層技術と異種デバイスの集積) 招待有り
福島誉史
SEMIテクノロジーシンポジウム(STS)2011 STS Session 4 パッケージング 2011年12月8日
-
Development of Wafer-Level 3D System Integration Technologies 招待有り
Takafumi Fukushima
The International Union of Materials Research Societies-International Conference in Asia (IUMRS-ICA) 12th International Conference in Asia 2011年9月20日
-
3D and Hetero Integration Based on Chip-to-Wafer Bonding Using Self-Assembly Technologies 招待有り
2011年5月9日
-
シリコン貫通光インターコネクション(TSPV)を用いた光電子三次元集積化技術 招待有り
福島誉史
2011年春季 第58回 応用物理学関係連合講演会 文科内総合講演 高集積微細デバイスにおける今後の信号伝達 / 配線技術 2011年3月25日
-
バッチ式Die-to-Wafer三次元集積化技術 招待有り
福島誉史
関西ワークショップ2010 2010年7月9日
-
セルフアセンブリを基盤としたウェーハレベル三次元集積化技術 招待有り
福島誉史
応用物理学会 シリコンテクノロジー分科会/電子情報通信学会 シリコン材料•デバイス研究会(SDM) 第118回研究集会 IEDM特集(先端CMOSデバイス・プロセス技術) 2010年1月29日
-
3D積層技術 招待有り
福島誉史
IEEE Electron Devices Society (EDS) Japan Chapter総会・2009 International Electron Devices Meeting (IEDM) 報告会 2010年1月26日
-
Self-Assembled 3D Chip Stacking Technology 招待有り
2009年10月5日
-
セルフアセンブリ法を用いた新しいヘテロインテグレーション技術 招待有り
福島誉史, 田中徹, 小柳光正
応用物理学会シリコンテクノロジー分科会 2009年8月3日
-
自己組織化によるヘテロインテグレーション技術 招待有り
第12回低温接合による3D集積化研究会 2009年4月22日
-
Super Hetero-Integration Technology for LSI /MEMS Integration 国際会議 招待有り
M. Koyanagi, K.-W. Lee, T. Fukushima, T. Tanaka
International Conference on Electronics Packaging (ICEP) 2009年4月14日
-
Super Chip Integration Technology for Three-Dimensionally Stacked Retinal 国際会議 招待有り
T. Fukushima, T. Tanaka, M. Koyanagi
Smart System Integration Conference 2009 2009年3月10日
-
自己組織化を用いた高密度実装技術とスーパーチップインテグレーション” 招待有り
福島誉史
第10回半導体パッケージング技術展 専門技術セミナー 2009年1月30日
-
Three-Dimensional Integration Technology to Achieve Super Chip 国際会議 招待有り
T. Fukushima, T. Tanaka, M. Koyanagi
Electropackage System and Interconnect Product Seminar, SEMICON Korea 2009 STS(SEMI Technology Symposium) 2009年1月20日
-
New Heterogeneous Multi-Chip Module Integration Technology Using Self-Assembly Method 国際会議 招待有り
T. Fukushima, T. Konno, K. Kiyoyama, M. Murugesan, K. Sato, W.-C.Jeong, Y. Ohara, A. Noriki, S. Kanno, Y. Kaiho, H. Kino, K. Makita, R.Kobayashi, C.-K. Yin, K. Inamura, K.-W. Lee, J.-C. Bea, T. Tanaka, M.Koyanagi
IEEE The International Solid-State Circuits Conference (ISSCC) 2009年1月8日
-
Three-Dimensional Integration Technology Based on Self-Assembled Chip-to-Wafer Stacking 国際会議 招待有り
T. Fukushima, T. Tanaka, M.Koyanagi
MRS (Material Research Society) fall meeting 2008年12月1日
-
実装プロセス・膜形成技術概論 招待有り
福島誉史
神奈川県産業競争力強化戦略推進事業 よこはま高度実装技術コンソーシアム(YJC)が実施する実力派実装技術者育成プログラム JISSO スクール2008 深掘コース(実装インターコネクションコース) 2008年10月14日
-
セルフアセンブリーを用いた3次元集積化技術 招待有り
福島 誉史, 田中 徹, 小柳 光正
九州学術研究都市 第8回 産学連携フェア Advanced Business Model for Semiconductor(ABMS)セミナー北九州 2008年10月9日
-
3D system integration technology and 3D systems 国際会議 招待有り
T. Fukushima, T. Tanaka, M. Koyanagi
Advanced Metallization Conference (AMC) 2008 2008年9月23日
-
自己組織化によるウェーハレベル三次元集積化技術 招待有り
福島 誉史, 田中 徹, 小柳 光正
2008 最先端実装シンポジウム 2008年6月12日
-
A New Nano-System with Three-Dimensional Structure for Real Time Parallel Image Processing 国際会議 招待有り
T. Fukushima, M. Koyanagi
The 5th International Conference on Mechanical Science based on Nanotechnology 2008年3月6日
-
3D system integration technology and 3D systems 国際会議 招待有り
Takafumi FUKUSHIMA Tetsu TANAKA, Mitsumasa KOYANAGI
European Workshop Materials for Advanced Metallization (MAM) 2008 2008年3月2日
-
自己組織化ウェーハ張り合わせによる三次元集積化技術 招待有り
福島誉史, 田中徹, 小柳光正
電子情報通信学会 シリコン材料・デバイス研究会(SDM) 応用物理学会シリコンテクノロジー分科会多層配線システム研究委員会 2008年2月8日
-
「次世代インテリジェント実装技術」 3次元実装技術 招待有り
福島 誉史, 田中 徹, 小柳 光正
エレクトロニクス実装学会/材料技術委員会公開研究会 2007年11月29日
-
Thermal Issues of 3D ICs 国際会議 招待有り
T. Fukushima, T. Tanaka, M. Koyanagi
Workshop on Driving the future of interconnect in 3D: Thermal and Design Issues in 3D ICs 2007年10月11日
-
3D Integration Technology Based on Chip-to-Wafer Bonding with Through-Si Vias (TSV) 招待有り
第2回 低温接合による3D集積化研究会 2007年9月18日
-
チップーウエハ3D 実装を用いたスーパーチップ積層技術 招待有り
福島誉史
長野実装フォーラム2007 2007年6月29日
産業財産権 48
-
基材、塗布方法及び塗布装置
圓崎諭, 寺田豊治, 小柳光正, 李康旭, 田中徹, 福島誉史, 谷義則
特許6842660
産業財産権の種類: 特許権
-
半導体装置およびその製造方法
特許10483240
産業財産権の種類: 特許権
-
液体を用いて基板に対するチップ部品のアライメントを行う方法
特許10553455
産業財産権の種類: 特許権
-
FLEXIBLE FAN-OUT WAFER LEVEL PROCESS AND STRUCTURE
Subramanian, S. IYER, Takafumi FUKUSHIMA, Adeel A. BAJWA
特許708313
産業財産権の種類: 特許権
-
液体を用いて基板に対するチップ部品のアライメントを行う方法
特許10553455
産業財産権の種類: 特許権
-
液体を用いて基板に対するチップ部品のアライメントを行う方法
菊田真也, 星野聡彦, 福島誉史, 小柳光正, 李康旭
特許6600922
産業財産権の種類: 特許権
-
半導体デバイスの製造方法
小柳光正, 田中徹, 福島誉史, 李康旭, 阿部洋史, 堀田吉則
特許6473897
産業財産権の種類: 特許権
-
半導体装置およびその製造
小柳光正, 李康旭, 浅海一志, 福島誉史, 鈴木拓
特許6467981
産業財産権の種類: 特許権
-
半導体装置およびその製造方法
Mitsumasa Koyanagi, Tetsu Tanaka, Takafumi Fukushima, Kang-Wook Lee
特許10177118
産業財産権の種類: 特許権
-
半導体装置
小柳光正, 福島誉史, 李康旭
6225771
産業財産権の種類: 特許権
-
三次元集積回路の製造方法及び装置
Mitsumasa Koyanagi, Takafumi Fukushima, Sugiyama Masahiko
特許1276625
産業財産権の種類: 特許権
-
光導波路モジュール、光導波路モジュールの製造方法
小柳光正, 田中徹, 福島誉史
特許5389490
産業財産権の種類: 特許権
-
反応現像画像形成法
特許100440041
産業財産権の種類: 特許権
-
反応現像画像形成法
友井正男, 福島誉史, 板谷博
特許3965434
産業財産権の種類: 特許権
-
反応現像画像形成法
特許1005980050000
産業財産権の種類: 特許権
-
チップ支持基板、それを用いた三次元集積回路及びそれらの製造方法並びにアセンブリ装置
9,449,948
産業財産権の種類: 特許権
-
マイクロLEDアレイの製造方法、及びマイクロLEDディスプレイの製造方法、並びにマイクロLEDアレイ、及びマイクロLEDディスプレイ
福島誉史, 小柳光正, 田中徹, 元吉真
産業財産権の種類: 特許権
-
半導体装置およびその製造方法
福島誉史, 小柳光正, 裵志哲, 佐久山真一
産業財産権の種類: 特許権
-
FLEXIBLE AND STRETCHABLE INTERCONNECTS FOR FLEXIBLE SYSTEMS
IYER SUBRAMANIAN S, ALAM ARSALAN, HANNA AMIR, FUKUSHIMA TAKAFUMI
産業財産権の種類: 特許権
-
微細配線構造の製造方法および微細配線構造の製造装置
福島誉史, Mariappan Murugesan, 小柳光正
産業財産権の種類: 特許権
-
延伸装置、及び延伸方法
福島誉史, 元吉真
産業財産権の種類: 特許権
-
FLEXIBLE AND STRETCHABLE INTERCONNECTS FOR FLEXIBLE SYSTEMS
IYER SUBRAMANIAN S, ALAM ARSALAN, HANNA AMIR, FUKUSHIMA TAKAFUMI
産業財産権の種類: 特許権
-
液体を用いて基板に対するチップ部品のアライメントを行う方法
産業財産権の種類: 特許権
-
液体を用いて基板に対するチップ部品のアライメントを行う方法
産業財産権の種類: 特許権
-
半導体装置およびその製造方法
小柳光正, 田中徹, 福島誉史
産業財産権の種類: 特許権
-
FLEXIBLE FAN-OUT WAFER LEVEL PROCESS AND STRUCTURE
IYER SUBRAMANIAN S, FUKUSHIMA TAKAFUMI, BAJWA ADEEL A
産業財産権の種類: 特許権
-
液体を用いて基板に対するチップ部品のアライメントを行う方法
産業財産権の種類: 特許権
-
半導体装置およびその製造方法
産業財産権の種類: 特許権
-
SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE(半導体デバイスおよび半導体デバイスの製造方法)TOHOKU UNIVERSITY/FUJIFILM CORP
KOYANAGI MITSUMASA, TANAKA TETSU, FUKUSHIMA TAKAFUMI, LEE KANGWOOK, ABE HIROFUMI, HOTTA YOSHINORI
産業財産権の種類: 特許権
-
半導体デバイスおよび半導体デバイスの製造方法
産業財産権の種類: 特許権
-
半導体装置およびその製造方法
産業財産権の種類: 特許権
-
チップ支持基板、それを用いた三次元集積回路及びそれらの製造方法並びにアセンブリ装置
産業財産権の種類: 特許権
-
チップ支持基板、それを用いた三次元集積回路及びそれらの製造方法並びにアセンブリ装置
産業財産権の種類: 特許権
-
チップ支持基板、それを用いた三次元集積回路及びそれらの製造方法並びにアセンブリ装置
産業財産権の種類: 特許権
-
三次元集積回路の製造方法及び装置
8,349,652
産業財産権の種類: 特許権
-
一括保持トレイ及び三次元集積回路製造装置
小柳光正, 福島誉史
産業財産権の種類: 特許権
-
三次元集積回路の製造方法及び装置
産業財産権の種類: 特許権
-
三次元集積回路の製造方法及び装置
433294
産業財産権の種類: 特許権
-
Method for forming image through reaction development
Masao Tomoi, Takafumi Fukushima, Hiroshi Itatani
7638255 B2
産業財産権の種類: 特許権
-
反応現像画像形成法
産業財産権の種類: 特許権
-
半導体装置およびその製造方法
6363868
産業財産権の種類: 特許権
-
半導体装置およびその製造方法
6362254
産業財産権の種類: 特許権
権利者: 小柳 光正, 李 康旭, 福島 誉史
-
チップ支持基板、チップ支持方法、三次元集積回路、アセンブリ装置及び三次元集積回路の製造方法
小柳 光正, 田中 徹, 福島 誉史
5963374
産業財産権の種類: 特許権
-
チップ支持基板、それを用いた三次元集積回路及びそれらの製造方法並びにアセンブリ装置
1681437
産業財産権の種類: 特許権
-
イメージセンサ
6011409
産業財産権の種類: 特許権
-
素子の実装方法および光モジュール
小柳光正, 田中徹, 福島誉史, 伊藤有香
6027828
産業財産権の種類: 特許権
-
三次元集積回路の製造方法及び装置
小柳 光正, 福島 誉史
5389490
産業財産権の種類: 特許権
-
回路基板、電子デバイス内蔵基板、集積回路デバイス、集積回路付き光導波路、電子デバイス内蔵基板の組立方法
小柳光正, 田中徹, 福島誉史
5142103
産業財産権の種類: 特許権
共同研究・競争的資金等の研究課題 48
-
ダイレット集積インモールドエレクトロニクスの基盤創成と浅皮下情報可視化シート開発
福島 誉史
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research
研究種目:Grant-in-Aid for Scientific Research (A)
研究機関:Tohoku University
2021年4月5日 ~ 2025年3月31日
-
人と同じ視野角と情報処理機能を有する極低侵襲ピクセル分散型完全埋植人工網膜の開発
田中 徹, 福島 誉史, 木野 久志, 富田 浩史, 清山 浩司, 菅野 江里子
2021年4月5日 ~ 2024年3月31日
-
不揮発性トンネルFETメモリによる超低消費電力ニューラルネットワークチップの開発
木野 久志, 福島 誉史, 田中 徹
2020年4月1日 ~ 2023年3月31日
-
マルチスケール応力エンジニアリングが拓く高集積フレキシブルエレクトロニクス
福島 誉史, マリアッパン ムルゲサン, 木野 久志, 清山 浩司
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research Fund for the Promotion of Joint International Research (Fostering Joint International Research (B))
研究種目:Fund for the Promotion of Joint International Research (Fostering Joint International Research (B))
研究機関:Tohoku University
2019年10月7日 ~ 2023年3月31日
-
チップレット内蔵ウェアラブルマイクロLEDディスプレイの開発
2021年1月 ~ 2023年3月
-
染色で誘導自己組織化ナノ配線を創る
福島 誉史, マリアッパン ムルゲサン
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research
研究種目:Grant-in-Aid for Challenging Research (Exploratory)
研究機関:Tohoku University
2020年7月30日 ~ 2022年3月31日
-
インモールド・エレクトロニクス用伸縮配線
2021年4月 ~ 2022年3月
-
広視野の視覚を再建する眼球内完全埋植・低侵襲フレキシブル人工網膜の開発
田中 徹, 福島 誉史, 木野 久志, 富田 浩史, 清山 浩司, 菅野 江里子
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research
研究種目:Grant-in-Aid for Scientific Research (A)
研究機関:Tohoku University
2018年4月1日 ~ 2021年3月31日
-
ウエハ検査用微細TSV集積化プローブカードの実用化開発
2020年10月 ~ 2021年3月
-
高密度ナノ配線形成に資する金属含有ブロック高分子のグラフォ・ケミカルエピタキシ
2018年4月 ~ 2021年3月
-
三次元集積技術を基盤としたナノプローブカードの試作と事業性検証
2019年10月 ~ 2020年9月
-
高集積ハイドロゲル創製への挑戦
福島 誉史, ベ ジチョル
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research Grant-in-Aid for Challenging Research (Exploratory)
研究種目:Grant-in-Aid for Challenging Research (Exploratory)
研究機関:Tohoku University
2018年6月29日 ~ 2020年3月31日
-
自己組織化支援拡散法による極微細シリコン貫通配線形成技術
2019年6月 ~ 2020年3月
-
硬い単結晶半導体で創る曲面集積フレキシブルデバイス創製
2018年6月 ~ 2019年6月
-
次世代積層LSIを志向した誘導自己組織化配線の形成とメカニズム解析
福島 誉史, 大山 俊幸, ベ ジチョル, 橋本 宏之
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research Grant-in-Aid for Scientific Research (B)
研究種目:Grant-in-Aid for Scientific Research (B)
研究機関:Tohoku University
2016年4月1日 ~ 2019年3月31日
-
高信頼性フレキシブルFOWLP技術に関する研究
2018年4月 ~ 2019年3月
-
ウエハ圧縮成型による柔軟な樹脂の高集積化
2018年4月 ~ 2019年3月
-
人の視覚と同じ高次情報処理を実現する眼球内完全埋め込み型人工網膜システムの開発
田中 徹, 福島 誉史, 富田 浩史, 清山 浩司, 小柳 光正, 菅野 江里子, 木野 久志
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research Grant-in-Aid for Scientific Research (A)
研究種目:Grant-in-Aid for Scientific Research (A)
研究機関:Tohoku University
2015年4月1日 ~ 2018年3月31日
-
高集積ストレッチャブルデバイス作製に資する基盤技術研究
2017年8月 ~ 2018年3月
-
高集積フレキシブル無機単結晶デバイス作製に資する機械加工と信頼性評価
2017年8月 ~ 2018年3月
-
ナノコンポジットの拡張誘導自己組織化による超微細配線の一括形成
2017年4月 ~ 2018年3月
-
誘導自己組織化による超立体高密度配線で構築する脳型コンピューティング システム研究
2016年3月 ~ 2017年7月
-
ブロック高分子と金属ナノ粒子が創出する拡張誘導自己組織化配線に関する技術開発
2017年4月 ~
-
ガラス/高分子界面のデンドリティックアンカー効果発現機構の解明
福島 誉史, 裵 志哲, 大西 正樹, 長井 千里
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research Grant-in-Aid for Challenging Exploratory Research
研究種目:Grant-in-Aid for Challenging Exploratory Research
研究機関:Tohoku University
2015年4月1日 ~ 2017年3月31日
-
高集積フレキシブルSiデバイス作製技術の開発
2014年4月 ~ 2015年5月
-
原子層堆積重合による縮合系耐熱高分子の積層膜形成と応用
福島 誉史, マリアッパン ムルゲサン, 裵 志哲
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research Grant-in-Aid for Challenging Exploratory Research
研究種目:Grant-in-Aid for Challenging Exploratory Research
研究機関:Tohoku University
2013年4月1日 ~ 2015年3月31日
-
高次視覚情報処理機能を有する完全埋込型低電力三次元積層人工網膜システムの研究
田中 徹, 清山 浩司, 冨田 浩, 福島 誉史, 小柳 光正
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research Grant-in-Aid for Scientific Research (A)
研究種目:Grant-in-Aid for Scientific Research (A)
研究機関:Tohoku University
2012年4月1日 ~ 2015年3月31日
-
超微細電極接合のための金属・有機無機ハイブリッド異種材料の精密切削
2014年8月 ~ 2015年3月
-
気相堆積重縮合によるシリコン貫通高分子光導波路の形成と評価
2014年4月 ~ 2015年3月
-
複合Siウェハを用いた高性能・低電力ヘテロCMOSトランジスタの開発
李 康旭, 福島 誉史, 田中 徹, 裵 志哲, ムルゲサン マリアッパン, 小柳 光正, 裴 艶麗
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research Grant-in-Aid for Scientific Research (B)
研究種目:Grant-in-Aid for Scientific Research (B)
研究機関:Tohoku University
2011年4月1日 ~ 2014年3月31日
-
グラフォアセンブリーによる三次元積層型光電子集積システム・オン・チップ
小柳 光正, 福島 誉史, 田中 徹, 羽根 一博, 三浦 英生, 裴 艶麗, 清山 浩司
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research Grant-in-Aid for Scientific Research (S)
研究種目:Grant-in-Aid for Scientific Research (S)
研究機関:Tohoku University
2009年5月11日 ~ 2014年3月31日
-
三次元LSI積層用ウェーハ転写技術の開発
2011年7月 ~ 2012年3月
-
機能性液体による集積回路の自己組織化三次元積層に関する研究
2011年4月 ~ 2012年3月
-
三次元チップ積層のためのウェーハレベル圧縮成形技術の開発
2011年4月 ~ 2012年3月
-
リコンフィギャラブル接合を基盤とした三次元集積化研究
福島 誉史
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research Grant-in-Aid for Scientific Research (B)
研究種目:Grant-in-Aid for Scientific Research (B)
研究機関:Tohoku University
2010年 ~ 2012年
-
眼球内埋め込み用低電力三次元積層型人工網膜システムの研究
田中 徹, 富田 浩史, 福島 誉史, 清山 浩司, 小柳 光正
2010年 ~ 2011年
-
脳機能の統合的研究の支援と推進 競争的資金
制度名:Grant-in-Aid for Scientific Research
2005年4月 ~ 2010年3月
-
自己組織化による異種デバイス混載積層型チップの創製
福島 誉史, 小柳 光正, 田中 徹
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research Grant-in-Aid for Young Scientists (A)
研究種目:Grant-in-Aid for Young Scientists (A)
研究機関:Tohoku University
2007年 ~ 2009年
-
金属ナノドット不揮発性メモリのナノインテグレーション
田中 徹, 福島 誉史, 裴 艶麗
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research Grant-in-Aid for Scientific Research on Priority Areas
研究種目:Grant-in-Aid for Scientific Research on Priority Areas
研究機関:Tohoku University
2006年 ~ 2009年
-
超高集積、低電力メモリデバイスに関する研究:金属ナノドットメモリの開発 競争的資金
制度名:JST Basic Research Programs (Core Research for Evolutional Science and Technology :CREST)
2003年3月 ~ 2008年4月
-
ナノテクノロジー基盤機械科学フロンティア:ナノテクノロジーとIT、バイオテクノロジーを駆使して、新しいナノデバイス、ナノマシン、バイオナノマシンの実現 競争的資金
制度名:SCF System for Establishment and Support of Center's of Excellence
2003年4月 ~ 2008年3月
-
トンネル注入制御Geナノデバイスを用いた超高周波キャリア伝導機構の解明
田中 徹, 福島 誉史, 福島 誉史, MOSSAD Ali Atif
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research Grant-in-Aid for Scientific Research (B)
研究種目:Grant-in-Aid for Scientific Research (B)
研究機関:Tohoku University
2007年 ~ 2008年
-
自己組織化による三次元集積回路の開発 競争的資金
制度名:Grant-in-Aid for Scientific Research
2003年4月 ~ 2007年3月
-
文部科学省ITプログラム:MRAMの回路技術、シミュレーションとメモリセルの試作 競争的資金
制度名:The Other Research Programs
2002年4月 ~ 2007年3月
-
積層型人工網膜システムの開発 競争的資金
制度名:SCF System for Establishment and Support of Center's of Excellence
2002年4月 ~ 2007年3月
-
三次元積層型プロセッサチップを用いた超高性能並列処理システム
小柳 光正, 羽根 一博, 寒川 誠二, 田中 徹, 福島 誉史, 栗野 浩之, 沈 正七, 宮川 宣明
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research Grant-in-Aid for Scientific Research (S)
研究種目:Grant-in-Aid for Scientific Research (S)
研究機関:Tohoku University
2003年 ~ 2007年
-
ナノフィラーを含む熱硬化性樹脂の分子設計と次世代集積回路への応用 競争的資金
福島 誉史
制度名:Grant-in-Aid for Scientific Research
2005年 ~ 2006年
-
先導的研究等の推進:Nano-CMOS超低消費電力デバイス技術 競争的資金
制度名:Special Coordination Funds for Promoting Science and Technology
2002年3月 ~ 2005年4月
担当経験のある科目(授業) 12
-
電気電子回路II 東北大学
-
数学物理学演習I 東北大学
-
機械設計学II(英語コース) 東北大学
-
バイオナノテクノロジー特論 東北大学
-
脳神経システム学(ニューロモーフィックデバイス工学) 東北大学
-
計画及び製図I(英語コース) 東北大学
-
機械知能・航空実験II「集積回路設計の基礎」 東北大学
-
医工学概論、医工学基礎 東北大学
-
機械知能・航空工学科 特別講義Ⅱ(人と機械のハーモニー) 東北大学
-
バイオロボットシステム入門(国際機械工学コース入門) 東北大学
-
Organic/Polymer Materials for Microelectronics in Special Topics of Physical and Wave Electronics Electric Engineering Department, UCLA
-
コンピュータ実習 東北大学
社会貢献活動 1
メディア報道 19
-
半導体、「チップレット」で進化 微細化代替へ産学連携
日本経済新聞 https://www.nikkei.com/article/DGXZQOUC230G90T21C22A0000000/
2022年11月3日
メディア報道種別: インターネットメディア
-
最小要素のチップレット集積技術を開発 広帯域接続と集積規模のスケーラビリティを実現
大阪大学 ResOU https://resou.osaka-u.ac.jp/ja/research/2022/20221005_2
2022年10月5日
-
最小要素のチップレット集積技術を開発 広帯域接続と集積規模のスケーラビリティを実現
東京工業大学 https://www.titech.ac.jp/news/2022/064932
2022年10月5日
メディア報道種別: その他
-
2016年度 田中貴金属記念財団研究助成 プラチナ賞 受賞
日刊金属
2017年4月3日
メディア報道種別: 新聞・雑誌
-
田中貴金属記念財団、研究助成の受賞者決定
鉄鋼新聞
2017年3月31日
メディア報道種別: 新聞・雑誌
-
東北大 新システム集積化技術を開発 自己組織化で一括作製
半導体産業新聞
2009年3月4日
メディア報道種別: 新聞・雑誌
-
3次元積層へのセルフ・アセンブリ技術の適用 東北大が成果示す
日経マイクロデバイス
2009年3月1日
メディア報道種別: 新聞・雑誌
-
セルフ・アセンブル技術の適用 東北大が成果示す
日系エレクトロニクス
2009年2月10日
メディア報道種別: 新聞・雑誌
-
異種デバイスを一括搭載 独自のシステム集積化技術開発
科学新聞
2009年1月23日
メディア報道種別: 新聞・雑誌
-
異種チップ基板に集積 東北大 水の表面張力利用
日経産業新聞
2009年1月15日
メディア報道種別: 新聞・雑誌
-
半導体ウエハ 異種デバイス一括搭載 製造時間短縮 東北大が技術
日刊工業新聞
2009年1月14日
メディア報道種別: 新聞・雑誌
-
異種部品一括し基板に 製造コスト大幅減
河北新報
2009年1月14日
メディア報道種別: 新聞・雑誌
-
患者の目に光を!世界初の人工網膜(資料提供 テレビ 仙台放送)(2007)
2007年8月21日
メディア報道種別: テレビ・ラジオ番組
-
3-D chip vendor corrects course
2005年12月28日
メディア報道種別: その他
-
チップ10層立体LSI(厚さ0.3ミリ 微細化の壁 突破に期待)
2005年12月28日
メディア報道種別: 新聞・雑誌
-
三次元集積回路を開発
2005年12月23日
メディア報道種別: 新聞・雑誌
-
チップ積み上げLSI(東北大が新手法 10層が可能 消費電力も期待)
2005年12月23日
メディア報道種別: 新聞・雑誌
-
三次元LSIを試作(積層構造を高速処理)
2005年12月23日
メディア報道種別: 新聞・雑誌
-
自己組織化法によるスーパーチップ技術の開発
2005年12月22日
メディア報道種別: テレビ・ラジオ番組
その他 13
-
ナノコンポジットの拡張誘導自己組織化による超微細配線の一括形成
-
高集積フレキシブル無機単結晶デバイス作製に資する機械加工と信頼性評価
-
ブロック高分子と金属ナノ粒子が創出する拡張誘導自己組織化配線に関する技術開発
-
高集積ストレッチャブルデバイス作製に資する基盤技術研究
-
気相堆積重縮合によるシリコン貫通高分子光導波路の形成と評価
-
高集積フレキシブルSiデバイス作製技術の開発
-
超微細電極接合のための金属・有機無機ハイブリッド異種材料の精密切削
-
三次元LSI積層用ウェーハ転写技術の開発
-
三次元チップ積層のためのウェーハレベル圧縮成形技術の開発
-
機能性液体による集積回路の自己組織化三次元積層に関する研究
-
三次元積層型プロセッサチップを用いた超高性能並列処理システム
-
科学技術振興調整費 先導的研究等の推進
-
戦略的創造研究推進事業