-
工学博士(東北大学)
研究者詳細
所属学協会 4
-
応用物理学会
2009年3月 ~ 継続中
-
情報処理学会
1986年8月 ~ 継続中
-
電子情報通信学会
1984年8月 ~ 継続中
-
米国電気電子工学会(The Institute of Electrical and Electronics Engineers)
研究キーワード 3
-
デバイスモデル
-
新概念VLSI
-
不揮発性ロジックインメモリアーキテクチャ
研究分野 3
-
情報通信 / 計算科学 / ダイハード・コンピューティング
-
情報通信 / ソフトコンピューティング / 不揮発性ロジック
-
情報通信 / 計算機システム / 知能集積システム
受賞 17
-
ネクストジェネレーション部門賞
2024年10月 CEATEC AWARD2024 CMOS/スピントロニクス融合AI半導体設計技術
-
優秀論文賞
2024年5月 米国電気電子工学会コンピュータ協会 MTJベース量子化ニューラルネットワークハードウェアの書込みエネルギーの緩和
-
研究会優秀若手講演賞
2018年5月 電子情報通信学会 集積回路研究会 "時系列特徴を用いたチップ内データ転送エラー訂正手法とその可能性"
-
文部科学大臣表彰科学技術賞(研究部門)
2015年4月 文部科学省 "不揮発性ロジックインメモリ集積回路の研究"
-
Best Paper Award Finalist
2014年5月 IEEE ASYNC 2014 "A Compact Soft-Error Tolerant Asynchronous TCAM Based on a Transistor/Magnetic-Tunnel-Junction Hybrid Dual-Rail Word Structure"
-
論文賞
2012年9月 SSDM 2012 "High-Density and Low-Power Nonvolatile Static Random Access Memory Using Spin-Transfer-Torque Magnetic Tunnel Junction"
-
Best Paper Award
2010年7月 IEEE ISVLSI 2010 "Accurate Asynchronous Network-on-Chip Simulation Based on a Delay-Aware Model"
-
優秀論文賞
2010年5月 電子情報通信学会 "TMR ロジックに基づくルックアップテーブル回路とその瞬時復帰可能FPGA への応用"
-
市村学術賞貢献賞
2010年4月 (財)新技術開発財団 "不揮発性ロジックインメモリ集積回路の開発"
-
優秀論文賞(JJAP論文賞)
2009年9月 応用物理学会 "Standby-Power-Free Compact Ternary Content-Addressable Memory Cell Chip Using Magnetic Tunnel Junction Devices"
-
University LSI Design Contest Special Feature Award
2007年1月 ASP-DAC 2007 "Implementation of a Standby-Power-Free CAM Based on Complementary Ferroelectric-Capacitor Logic"
-
優秀ポスター賞
2002年11月 2002年システムLSIワークショップ "強誘電体デバイスを用いた低電力ロジックインメモリVLSIの構成"
-
審査員特別賞
2002年6月 2002年度(第9回)LSIデザイン・オブ・ザ・イヤー 強誘電体デバイスを用いたシステムLSI構築技術
-
坂井記念特別賞
2000年5月 (社)情報処理学会 "フローティングゲートMOSパストランジスタ論理に基づく多値ロジックインメモリVLSI"
-
Distinctive Contribution Award
1988年5月 IEEE ISMVL "Quaternary Gate Array for Pattern Matching and its Application to Knowledge Information Processing System"
-
丹羽記念賞
1988年2月 丹羽記念会 "4値論理に基づくnMOS画像処理プロセッサの構成と試作"
-
Award for Excellence
1986年5月 IEEE ISMVL "Implementation of Quaternary NMOS Integrated Circuits for Pipelined Image Processing"
論文 542
-
Design of an FPGA-based emulator for rapidly prototyping a nonvolatile system-on-a-chip 査読有り
Daisuke Suzuki, Takahiro Hanyu
Japanese Journal of Applied Physics 64 (4) 04SP06-04SP06 2025年4月1日
出版者・発行元: IOP PublishingDOI: 10.35848/1347-4065/adba6d
ISSN:0021-4922
eISSN:1347-4065
-
Design of an Intermittent-Computing-Oriented Nonvolatile Register With a Switching-Probability-Aware Store-and-Verify Scheme 査読有り
Masanori Natsui, Takahiro Hanyu
IEEE Access 13 38104-38114 2025年3月7日
出版者・発行元: Institute of Electrical and Electronics Engineers (IEEE)DOI: 10.1109/access.2025.3546590
eISSN:2169-3536
-
GPU-accelerated simulated annealing based on p-bits with real-world device-variability modeling
Naoya Onizawa, Takahiro Hanyu
Scientific Reports 15 (1) 2025年2月19日
出版者・発行元: Springer Science and Business Media LLCDOI: 10.1038/s41598-025-90520-3
eISSN:2045-2322
-
Error-Tolerance-Aware Write-Energy Reduction of MTJ-Based Quantized Neural Network Hardware 査読有り
Ken ASANO, Masanori NATSUI, Takahiro HANYU
IEICE Transactions on Information and Systems E107.D (8) 958-965 2024年8月1日
出版者・発行元: Institute of Electronics, Information and Communications Engineers (IEICE)DOI: 10.1587/transinf.2023lop0007
ISSN:0916-8532
eISSN:1745-1361
-
Enhanced convergence in p-bit based simulated annealing with partial deactivation for large-scale combinatorial optimization problems
Naoya Onizawa, Takahiro Hanyu
Scientific Reports 14 (1) 2024年1月16日
出版者・発行元: Springer Science and Business Media LLCDOI: 10.1038/s41598-024-51639-x
eISSN:2045-2322
-
Stochastic Simulated Quantum Annealing for Fast Solution of Combinatorial Optimization Problems
Naoya Onizawa, Ryoma Sasaki, Duckgyu Shin, Warren J. Gross, Takahiro Hanyu
IEEE Access 12 102050-102060 2024年
出版者・発行元: Institute of Electrical and Electronics Engineers (IEEE)DOI: 10.1109/access.2024.3431540
eISSN:2169-3536
-
Stochastic Implementation of Simulated Quantum Annealing on PYNQ
Taiga Kubuta, Duckgyu Shin, Naoya Onizawa, Takahiro Hanyu
2023 International Conference on Field Programmable Technology (ICFPT) 2023年12月12日
出版者・発行元: IEEEDOI: 10.1109/icfpt59805.2023.00042
-
Error-Sensitivity-Aware Write-Energy Optimization for an MTJ-Based Binarized Neural Network
Ken Asano, Masanori Natsui, Takahiro Hanyu
2023 30th IEEE International Conference on Electronics, Circuits and Systems (ICECS) 2023年12月4日
出版者・発行元: IEEEDOI: 10.1109/icecs58634.2023.10382768
-
Improving Stochastic Quantum-Like Annealing Based on Rerandomization
Ryoma Sasaki, Duckgyu Shin, Naoya Onizawa, Takahiro Hanyu
2023 30th IEEE International Conference on Electronics, Circuits and Systems (ICECS) 2023年12月4日
出版者・発行元: IEEEDOI: 10.1109/icecs58634.2023.10382735
-
Challenge of Energy-Efficient Edge-AI Accelerator Architecture Using Nonvolatile Logic 査読有り
Natsui Masanori, Takako Yasuhiro, Tamakoshi Akira, Hanyu Takahiro
2023 International Symposium on Nonlinear Theory and Its Applications (NOLTA2023) 263-264 2023年9月
-
Design of an Error-Tolerant Nonvolatile Register for Energy-Aware Intermittent Computing
Kaede Sakai, Masanori Natsui, Takahiro Hanyu
2023 IEEE 66th International Midwest Symposium on Circuits and Systems (MWSCAS) 2023年8月6日
出版者・発行元: IEEEDOI: 10.1109/mwscas57524.2023.10405935
-
Design of a nonvolatile-register-embedded RISC-V CPU with software-controlled data-retention and hardware-acceleration functions
Masanori Natsui, Keisuke Sakamoto, Takahiro Hanyu
Memories - Materials, Devices, Circuits and Systems 4 100035-100035 2023年7月
出版者・発行元: Elsevier BVDOI: 10.1016/j.memori.2023.100035
ISSN:2773-0646
-
Local Energy Distribution Based Hyperparameter Determination for Stochastic Simulated Annealing
Naoya Onizawa, Kyo Kuroki, Duckgyu Shin, Takahiro Hanyu
IEEE Open Journal of Signal Processing 1-11 2023年
出版者・発行元: Institute of Electrical and Electronics Engineers (IEEE)DOI: 10.1109/ojsp.2023.3329756
eISSN:2644-1322
-
Self-Adaptive Gate Control for Efficient Escape from Local Minimum Energy on Invertible Logic
Naoya Onizawa, Koji Yano, Seiichi Shin, Hiroyuki Fujita, Takahiro Hanyu
IEEE Access 11 1-1 2023年
出版者・発行元: Institute of Electrical and Electronics Engineers (IEEE)DOI: 10.1109/access.2023.3272867
eISSN:2169-3536
-
Memory-Efficient FPGA Implementation of Stochastic Simulated Annealing
Duckgyu Shin, Naoya Onizawa, Warren J. Gross, Takahiro Hanyu
IEEE Journal on Emerging and Selected Topics in Circuits and Systems 13 (1) 1-1 2023年
出版者・発行元: Institute of Electrical and Electronics Engineers (IEEE)DOI: 10.1109/jetcas.2023.3243260
ISSN:2156-3357
eISSN:2156-3365
-
Fast Solving Complete 2000-Node Optimization Using Stochastic-Computing Simulated Annealing
Kota Katsuki, Duckgyu Shin, Naoya Onizawa, Takahiro Hanyu
2022 29th IEEE International Conference on Electronics, Circuits and Systems (ICECS) 2022年10月24日
出版者・発行元: IEEEDOI: 10.1109/icecs202256217.2022.9971124
-
Dynamic activation of power-gating-switch configuration for highly reliable nonvolatile large-scale integrated circuits
Fangcen Zhong, Masanori Natsui, Takahiro Hanyu
JAPANESE JOURNAL OF APPLIED PHYSICS 61 (SC) 2022年5月
DOI: 10.35848/1347-4065/ac461a
ISSN:0021-4922
eISSN:1347-4065
-
CMOS Invertible Logic: Bidirectional operation based on the probabilistic device model and stochastic computing
Naoya Onizawa, Takahiro Hanyu
IEEE Nanotechnology Magazine 16 (1) 33-46 2022年2月
出版者・発行元: Institute of Electrical and Electronics Engineers (IEEE)DOI: 10.1109/mnano.2021.3126094
ISSN:1932-4510
eISSN:1942-7808
-
Fast-Converging Simulated Annealing for Ising Models Based on Integral Stochastic Computing
Naoya Onizawa, Kota Katsuki, Duckgyu Shin, Warren J. Gross, Takahiro Hanyu
IEEE Transactions on Neural Networks and Learning Systems 1-7 2022年
出版者・発行元: Institute of Electrical and Electronics Engineers (IEEE)DOI: 10.1109/tnnls.2022.3159713
ISSN:2162-237X
eISSN:2162-2388
-
Scalable Hardware Architecture for Invertible Logic with Sparse Hamiltonian Matrices
Naoya Onizawa, Akira Tamakoshi, Takahiro Hanyu
2021 IEEE Workshop on Signal Processing Systems (SiPS) 2021年10月
出版者・発行元: IEEEDOI: 10.1109/sips52927.2021.00047
-
Design automation of invertible logic circuit from a standard hdl description
Makoto Kato, Naoya Onizawa, Takahiro Hanyu
Journal of Applied Logics 8 (5) 1311-1333 2021年6月1日
出版者・発行元: College PublicationsISSN:2631-9829 2631-9810
-
Design of an energy-efficient binarized convolutional neural network accelerator using a nonvolatile field-programmable gate array with only-once-write shifting
Daisuke Suzuki, Takahiro Oka, Takahiro Hanyu
Japanese Journal of Applied Physics 60 2021年5月1日
出版者・発行元: IOP Publishing LtdDOI: 10.35848/1347-4065/abe682
ISSN:1347-4065 0021-4922
-
High Convergence Rates of CMOS Invertible Logic Circuits Based on Many-Body Hamiltonians
Naoya Onizawa, Takahiro Hanyu
2021 IEEE International Symposium on Circuits and Systems (ISCAS) 2021年5月
出版者・発行元: IEEEDOI: 10.1109/iscas51556.2021.9401278
-
Design of a highly reliable nonvolatile flip-flop incorporating a common-mode write error detection capability
Masanori Natsui, Gensei Yamagishi, Takahiro Hanyu
Japanese Journal of Applied Physics 60 (SB) SBBB02-SBBB02 2021年5月1日
出版者・発行元: IOP PublishingDOI: 10.35848/1347-4065/abdcb0
ISSN:0021-4922
eISSN:1347-4065
-
Dual-Port SOT-MRAM Achieving 90-MHz Read and 60-MHz Write Operations under Field-Assistance-Free Condition
Masanori Natsui, Akira Tamakoshi, Hiroaki Honjo, Toshinari Watanabe, Takashi Nasuno, Chaoliang Zhang, Takaho Tanigawa, Hirofumi Inoue, Masaaki Niwa, Toru Yoshiduka, Yasuo Noguchi, Mitsuo Yasuhira, Yitao Ma, Hui Shen, Shunsuke Fukami, Hideo Sato, Shoji Ikeda, Hideo Ohno, Tetsuo Endoh, Takahiro Hanyu
IEEE Journal of Solid-State Circuits 56 (4) 1116-1128 2021年4月
DOI: 10.1109/JSSC.2020.3039800
ISSN:0018-9200
eISSN:1558-173X
-
Hardware Acceleration of Large-Scale CMOS Invertible Logic Based on Sparse Hamiltonian Matrices
Naoya Onizawa, Akira Tamakoshi, Takahiro Hanyu
IEEE Open Journal of Circuits and Systems 2 782-791 2021年
出版者・発行元: Institute of Electrical and Electronics Engineers (IEEE)DOI: 10.1109/ojcas.2021.3116584
eISSN:2644-1225
-
Sparse Random Signals for Fast Convergence on Invertible Logic
Naoya Onizawa, Makoto Kato, Hitoshi Yamagata, Koji Yano, Seiichi Shin, Hiroyuki Fujita, Takahiro Hanyu
IEEE Access 9 62890-62898 2021年
出版者・発行元: Institute of Electrical and Electronics Engineers (IEEE)DOI: 10.1109/access.2021.3072048
eISSN:2169-3536
-
Multi-Context TCAM-Based Selective Computing: Design Space Exploration for a Low-Power NN
Ren Arakawa, Naoya Onizawa, Jean-Philippe Diguet, Takahiro Hanyu
IEEE Transactions on Circuits and Systems I: Regular Papers 68 (1) 67-76 2021年1月
出版者・発行元: Institute of Electrical and Electronics Engineers (IEEE)DOI: 10.1109/tcsi.2020.3030104
ISSN:1549-8328
eISSN:1558-0806
-
Design and Evaluation of a Synthesizable Standard-Cell-Based Nonvolatile FPGA
Daisuke Suzuki, Takahiro Hanyu
Proceedings of The International Symposium on Multiple-Valued Logic 2020- 194-199 2020年11月1日
出版者・発行元: IEEE Computer SocietyDOI: 10.1109/ISMVL49045.2020.000-6
ISSN:0195-623X
-
Memristive Computational Memory Using Memristor Overwrite Logic (MOL)
Khaled Alhaj Ali, Mostafa Rizk, Amer Baghdadi, Jean-Philippe Diguet, Jalal Jomaah, Naoya Onizawa, Takahiro Hanyu
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 28 (11) 2370-2382 2020年11月
出版者・発行元: Institute of Electrical and Electronics Engineers (IEEE)DOI: 10.1109/tvlsi.2020.3011522
ISSN:1063-8210
eISSN:1557-9999
-
High-Throughput/Low-Energy MTJ-Based True Random Number Generator Using a Multi-Voltage/Current Converter
Naoya Onizawa, Shogo Mukaida, Akira Tamakoshi, Hitoshi Yamagata, Hiroyuki Fujita, Takahiro Hanyu
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 28 (10) 2171-2181 2020年10月
出版者・発行元: Institute of Electrical and Electronics Engineers (IEEE)DOI: 10.1109/tvlsi.2020.3005413
ISSN:1063-8210
eISSN:1557-9999
-
Dual-Port Field-Free SOT-MRAM Achieving 90-MHz Read and 60-MHz Write Operations under 55-nm CMOS Technology and 1.2-V Supply Voltage 査読有り
M. Natsui, A. Tamakoshi, H. Honjo, T. Watanabe, T. Nasuno, C. Zhang, T. Tanigawa, H. Inoue, M. Niwa, T. Yoshiduka, Y. Noguchi, M. Yasuhira, Y. Ma, H. Shen, S. Fukami, H. Sato, S. Ikeda, H. Ohno, T. Endoh, T. Hanyu
VLSI Symposium 2020-June 2020年6月
DOI: 10.1109/VLSICircuits18222.2020.9162774
-
Design of an Energy-Efficient True Random Number Generator Based on Triple Read-Write Data-Stream Multiplexing of MTJ Devices 査読有り
A. Tamakoshi, N. Onizawa, H. Yamagata, H. Fujita, T. Hanyu
Proc. 18th IEEE International New Circuits and Systems Conference (NEWCAS) 2020年6月
-
Impact of MTJ-based nonvolatile circuit techniques for energy-efficient binary neural network hardware 査読有り
Masanori Natsui, Tomoki Chiba, Takahiro Hanyu
Japanese Journal of Applied Physics 59 (5) 050602-050602 2020年5月1日
出版者・発行元: IOP PublishingDOI: 10.35848/1347-4065/ab82ae
ISSN:0021-4922
eISSN:1347-4065
-
Design of a cost-efficient controller for realizing a data-shift-minimized nonvolatile field-programmable gate array
Daisuke Suzuki, Takahiro Hanyu
Japanese Journal of Applied Physics 59 2020年4月1日
出版者・発行元: Institute of Physics PublishingDOI: 10.35848/1347-4065/ab70ac
ISSN:1347-4065 0021-4922
-
Training Hardware for Binarized Convolutional Neural Network Based on CMOS Invertible Logic
Duckgyu Shin, Naoya Onizawa, Warren J. Gross, Takahiro Hanyu
IEEE Access 8 188004-188014 2020年
出版者・発行元: Institute of Electrical and Electronics Engineers (IEEE)DOI: 10.1109/access.2020.3029576
eISSN:2169-3536
-
In-Hardware Training Chip Based on CMOS Invertible Logic for Machine Learning 国際誌 国際共著 査読有り
N. Onizawa, S. C. Smithson, B. H. Meyer, W. J. Gross, T. Hanyu
IEEE Trans. on Circuits and Syst. I Reg. Papers 67 (5) 1541-1550 2020年
出版者・発行元:DOI: 10.1109/TCSI.2019.2960383
ISSN:1549-8328
eISSN:1558-0806
-
Design of an MTJ-based Nonvolatile Multi-context Ternary Content-Addressable Memory 査読有り
N. Onizawa, R. Arakawa, T. Hanyu
Journal of Applied Logics 7 (1) 89-105 2020年1月
-
Fast Hardware-based Learning Algorithm for Binarized Perceptron Using CMOS Invertible Logic 査読有り
N. Onizawa, D. Shin, T. Hanyu
Journal of Applied Logics 7 (1) 41-58 2020年1月
-
First demonstration of field-free SOT-MRAM with 0.35 ns write speed and 70 thermal stability under 400℃ thermal tolerance by canted SOT structure and its advanced patterning/SOT channel technology 査読有り
International Electron Device Meeting 2019-December 2019年12月
DOI: 10.1109/IEDM19573.2019.8993443
ISSN:0163-1918
-
Multi-Context TCAM-Based Selective Computing Architecture for a Low-Power NN 国際誌 査読有り
R. Arakawa, N. Onizawa, T. Hanyu
Proc. 26th IEEE International Conference on Electrocnis, Circuits & Systems (ICECS) 2019 117-118 2019年11月
DOI: 10.1109/ICECS46596.2019.8964869
-
FPGA Implementation of Binarized Perceptron Learning Hardware Using CMOS Invertible Logic 国際誌 査読有り
D. Shin, N. Onizawa, T. Hanyu
Proc. 26th IEEE International Conference on Electrocnis, Circuits & Systems (ICECS) 2019, 115-116 2019年11月
DOI: 10.1109/ICECS46596.2019.8965097
-
A Design Framework for Invertible Logic 査読有り
N. Onizawa, K. Nishino, S. C. Smithson, B. H. Meyer, W. J. Gross, H. Yamagata, H. Fujita, T. Hanyu
Proc. 53rd Asilomar Conference on Signals, Systems, and Computers 2019年11月
出版者・発行元:DOI: 10.1109/ieeeconf44664.2019.9048700
-
Stochastic-Computing Based Branware LSI Towards an Intelligence Edge 招待有り 査読有り
N. Onizawa, W. J. Gross, T. Hanyu
Proc. 26th IEEE International Conference on Electrocnis, Circuits & Systems (ICECS) 2019, 2019年11月
-
MTJ-Based Nonvolatile Logic-in-Memory Circuit with Feedback-Type Equal-Resistance Sensing Mechanism for Ternary Neural Network Hardware
Masanori Natsui, Takahiro Hanyu
2019 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference, S3S 2019 2019年10月14日
出版者・発行元: Institute of Electrical and Electronics Engineers Inc.DOI: 10.1109/S3S46989.2019.9320674
-
Design of an Energy-Efficient Controller for Realizing a Data-Shift-Minimized Nonvolatile FPGA 査読有り
鈴木 大輔, 羽生貴弘
525-526 2019年9月
-
Efficient CMOS Invertible Logic Using Stochastic Computing 査読有り
S. Smithson, N. Onizawa, B. H. Meyer, W. J. Gross, T. Hanyu
IEEE Trans. on Circuits and Syst. I Reg. Papers 66 (6) 2263-2274 2019年6月
DOI: 10.1109/TCSI.2018.2889732
-
Design of a Current-Mode Linear-Sum-Based Bitcounting Circuit with an MTJ-Based Compensator for Binarized Neural Networks
Tomoki Chiba, Masanori Natsui, Takahiro Hanyu
Proceedings of The International Symposium on Multiple-Valued Logic 2019- 91-96 2019年5月1日
出版者・発行元: IEEE Computer SocietyISSN:0195-623X
-
Design of a highly reliable, high-speed MTJ-based lookup table circuit using fractured logic-in-memory structure 査読有り
鈴木 大輔, 羽生貴弘
58 (SB) SBBB10~1-SBBB10~7 2019年4月
-
12.1 An FPGA-Accelerated Fully Nonvolatile Microcontroller Unit for Sensor-Node Applications in 40nm CMOS/MTJ-Hybrid Technology Achieving 47.14μW Operation at 200MHz
Masanori Natsui, Daisuke Suzuki, Akira Tamakoshi, Toshinari Watanabe, Hiroaki Honjo, Hiroki Koike, Takashi Nasuno, Yitao Ma, Takaho Tanigawa, Yasuo Noguchi, Mitsuo Yasuhira, Hideo Sato, Shoji Ikeda, Hideo Ohno, Tetsuo Endoh, Takahiro Hanyu
Digest of Technical Papers - IEEE International Solid-State Circuits Conference 2019-February 202-204 2019年3月6日
DOI: 10.1109/ISSCC.2019.8662431
ISSN:0193-6530
-
Brain-inspired computing
Naoya Onizawa, Warren J. Gross, Takahiro Hanyu
Stochastic Computing: Techniques and Applications 185-199 2019年2月18日
出版者・発行元: Springer International PublishingDOI: 10.1007/978-3-030-03730-7_10
-
Design of an energy-efficient XNOR gate based on MTJ-based nonvolatile logic-in-memory architecture for binary neural network hardware
Masanori Natsui, Tomoki Chiba, Takahiro Hanyu
Japanese Journal of Applied Physics 58 2019年
出版者・発行元: Institute of Physics PublishingISSN:1347-4065 0021-4922
-
A 47.14-µW 200-MHz MOS/MTJ-Hybrid Nonvolatile Microcontroller Unit Embedding STT-MRAM and FPGA for IoT Applications. 査読有り
Masanori Natsui, Daisuke Suzuki, Akira Tamakoshi, Toshinari Watanabe, Hiroaki Honjo, Hiroki Koike, Takashi Nasuno, Yitao Ma, Takaho Tanigawa, Yasuo Noguchi, Mitsuo Yasuhira, Hideo Sato, Shoji Ikeda, Hideo Ohno, Tetsuo Endoh, Takahiro Hanyu
J. Solid-State Circuits 54 (11) 2991-3004 2019年
DOI: 10.1109/JSSC.2019.2930910
ISSN:0018-9200
eISSN:1558-173X
-
Circuit optimization technique of nonvolatile logic-in-memory based lookup table circuits using magnetic tunnel junction devices 査読有り
Daisuke Suzuki, Takahiro Oka, Takahiro Hanyu
Microelectronics Journal 83 39-49 2019年1月
DOI: 10.1016/j.mejo.2018.10.013
-
A Fully Nonvolatile Microcontroller Unit with Embedded STT-MRAM and FPGA-Based Accelerator for Sensor-Node Applications in 40nm CMOS/MTJ-Hybrid Technology 査読有り
M. Natsui, D. Suzuki, A. Tamakoshi, T. Watanabe, H. Honjo, H. Koike, T. Nasuno, Y. Ma, T. Tanigawa, Y. Noguchi, M. Yasuhira, H. Sato, S. Ikeda, H. Ohno, T. Endoh, T. Hanyu
IEEE Journal of Solid State Circuits 54 (11) 2991-3004 2019年
DOI: 10.1109/JSSC.2019.2930910
ISSN:0018-9200
eISSN:1558-173X
-
Design of MTJ-Based nonvolatile logic gates for quantized neural networks
Masanori Natsui, Tomoki Chiba, Takahiro Hanyu
Microelectronics Journal 82 13-21 2018年12月1日
出版者・発行元: Elsevier LtdDOI: 10.1016/j.mejo.2018.10.005
ISSN:0026-2692
-
Recent Trends in MTJ-Based Nonvolatile FPGA
Daisuke Suzuki, Takahiro Hanyu
CSRN-Osaka Annual Workshop 2018年12月
-
Study of Stochastic Invertible Multiplier Designs 査読有り
K. Nishino, S. Smituhson, N. Onizawa, B. H. Myer, W. J. Gross, H. Yamagata, H. Fujita, T. Hanyu
Proc. IEEE International Conference on Electronics, Circuits & Systems (ICECS) 2018 649-650 2018年12月
-
MTJ-Based Asynchronous Circuits for Re-Initialization Free Computing against Power Failures 査読有り
N. Onizawa, M. Imai, T. Yoneda, T. Hanyu
Microelectronics Journal 82 46-61 2018年12月
DOI: 10.1016/j.mejo.2018.10.012
-
Networked Power-Gated MRAMs for Memory-Based Computing 査読有り
J.-P. Diguet, N. Onizawa, M. Rizk, M. J. Sepulveda, A. Baghdadi, T. Hanyu
IEEE Trans. on Very Large Scale Integration (VLSI) Systems, 26 (12) 2696-2708 2018年12月
DOI: 10.1109/TVLSI.2018.2856458
-
Application of Stochastic Computing in Brainware 招待有り 査読有り
W. J. Gross, N. Onizawa, K. Matsumiya, T. Hanyu
Nonlinear Theory and Its Applications, IEICE, E9-N (4) 406-422 2018年10月
DOI: 10.1587/nolta.9.406
-
A High-Read-Margin MTJ-Based Fracturable Lookup Table Circuit Using a Series-NMOS-Resistance-Reduced Logic-in-Memory Structure 査読有り
Daisuke Suzuki, Takahiro Hanyu
Extended Abstracts of 2018 International Conference on Solid State Devices and Materials (SSDM2018) 117-118 2018年9月
-
書込み回数最小化に基づく省エネルギー不揮発Lookup Table回路の構成
岡 貴弘, 鈴木 大輔, 羽生 貴弘
平成30年度 電気関係学会東北支部連合大会講演論文集 1E16 2018年9月
-
An Accuracy/Energy-Flexible Configurable Gabor-Filter Chip Based on Stochastic Computation with Dynamic Voltage-Frequency-Length Scaling 査読有り
Naoya Onizawa, Daisaku Katagiri, Kazumichi Matsumiya, Warren J. Gross, Takahiro Hanyu
IEEE Journal on Emerging and Selected Topics in Circuits and Systems (JETCAS) 8 (3) 444-453 2018年9月
DOI: 10.1109/JETCAS.2018.2844329
-
Systematic intrusion detection technique for an in-vehicle network based on time-series feature extraction
Hiroki Suda, Masanori Natsui, Takahiro Hanyu
Proceedings of The International Symposium on Multiple-Valued Logic 2018- 56-61 2018年7月19日
出版者・発行元: IEEE Computer SocietyISSN:0195-623X
-
低電力・高性能な不揮発MCU実現に向けた要素回路IPの開発
鈴木 大輔, 羽生 貴弘
ImPACT佐橋プログラム 公開成果報告会 128-129 2018年6月
-
低電力・高性能な不揮発MCU実現に向けた自律制御型パワーゲーティング技術
鈴木 大輔, 羽生 貴弘
ImPACT佐橋プログラム 公開成果報告会 126-127 2018年6月
-
MTJ-based nonvolatile logic LSI for ultra low-power and highly dependable computing 査読有り
Masanori Natsui, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
China Semiconductor Technology International Conference 2018, CSTIC 2018 1-4 2018年5月29日
出版者・発行元: Institute of Electrical and Electronics Engineers Inc.DOI: 10.1109/CSTIC.2018.8369189
-
MTJ ベース多機能不揮発Lookup Table 回路の設計
鈴木 大輔, 岡 貴弘, 羽生 貴弘
信学技報, RECONF2018-12 59-64 2018年5月
-
Design of a Low-Power MTJ-Based True Random Number Generator Using a Multi-Voltage/Current Converter 査読有り
S. Mukaida, N. Onizawa, T. Hanyu
48th International Symposium on Multiple-Valued Logic (ISMVL) 156-161 2018年5月
-
High-Precision Stochastic State-Space Digital Filters Based on Minimum Roundoff Noise Structure 査読有り
Shunsuke Koshita, Naoya Onizawa, Masahide Abe, Takahiro Hanyu, Masayuki Kawamata
Proceedings of IEEE International Symposium on Circuits and Systems 2018年5月
DOI: 10.1109/ISCAS.2018.8351186
-
Design of a magnetic-tunnel-junction-oriented nonvolatile lookup table circuit with write-operation-minimized data shifting 査読有り
Daisuke Suzuki, Takahiro Hanyu
Japanese Journal of Applied Physics 57 (4) 2018年4月1日
出版者・発行元: Japan Society of Applied PhysicsISSN:1347-4065 0021-4922
-
Design of a memory-access controller with 3.71-times-enhanced energy efficiency for Internet-of-Things-oriented nonvolatile microcontroller unit 査読有り
Masanori Natsui, Takahiro Hanyu
Japanese Journal of Applied Physics 57 (4) 2018年4月1日
出版者・発行元: Japan Society of Applied PhysicsISSN:1347-4065 0021-4922
-
Design of stochastic asymmetric compensation filters for auditory signal processing 査読有り
Naoya Onizawa, Shunsuke Koshita, Shuichi Sakamoto, Masayuki Kawamata, Takahiro Hanyu
2017 IEEE Global Conference on Signal and Information Processing, GlobalSIP 2017 - Proceedings 2018- 1315-1319 2018年3月7日
出版者・発行元: Institute of Electrical and Electronics Engineers Inc.DOI: 10.1109/GlobalSIP.2017.8309174
-
MTJ素子を用いた高度演算機能を有する不揮発LUT回路の構成
鈴木 大輔, 羽生 貴弘
2018年電子情報通信学会総合大会講演論文集 58-58 2018年3月
-
Minimum Power Supply Asynchronous Circuits for Re-initialization Free Computing 査読有り
M. Imai, N. Onizawa, T. Hanyu, T. Yoneda
21st Workshop on Synthesis And System Integration of Mixed Information Technologies 283-288 2018年3月
-
Energy-Efficient MTJ-Based Nonvolatile FPGA Using Self-Terminated Power-Gating Scheme 査読有り
Daisuke Suzuki, Takahiro Hanyu
Kick-off Symposium for World Leading Research Centers -Materials Science and Spintronics- 135-135 2018年2月
-
Design of a Multi-Functional MTJ-Based FPGA for an Ultra-Low-Power IoT Applications
Daisuke Suzuki, Takahiro Hanyu
Kick-off Symposium for World Leading Research Centers -Materials Science and Spintronics- 136-136 2018年2月
-
MTJ-Based Nonvolatile FPGA for Brainware LSI Platform
Daisuke Suzuki, Takahiro Hanyu
Abstracts of The 5th International Symposium on Brainware LSI 3-3 2018年2月
-
Design of an MTJ-Based Nonvolatile LUT Circuit with a Data-Update Minimized Shift Operation for an Ultra-Low-Power FPGA 査読有り
Daisuke Suzuki, Takahiro Hanyu
Proceedings of 26th ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA 2018) 291-291 2018年2月
-
不揮発FPGAを用いた脳型情報処理アクセラレータの構成
鈴木 大輔, 羽生 貴弘
信学会第2種研究会「多値論理とその応用」予稿集 45-50 2018年1月
-
A Generalized Stochastic Implementation of the Disparity Energy Model for Depth Perception 査読有り
K. Boga, F. Leduc-Primeaur, N. Onizawa, K. Matsumiya, T. Hanyu, W. J. Gross
Journal of Signal Processing Systems (JSPS) 90 (5) 709-725 2018年
DOI: 10.1007/s11265-016-1197-3
-
An Area/Power-Aware 32-channel compressive gammachirp filterbank chip based on hybrid stochastic/binary computation 査読有り
Naoya Onizawa, Shunsuke Koshita, Shuichi Sakamoto, Masayuki Kawamata, Takahiro Hanyu
Nonlinear Theory and Its Applications, IEICE E9-N (4) 406-422 2018年
DOI: 10.1587/nolta.9.423
-
MTJ-based Asynchronous Circuits for Re-initialization Free Computing against Power Failures 査読有り
Naoya Onizawa, Masashi Imai, Takahiro Hanyu, Tomohiro Yoneda
Proceedings - International Symposium on Asynchronous Circuits and Systems 2017- 118-125 2017年11月3日
出版者・発行元: IEEE Computer SocietyISSN:1522-8681
-
Area/Energy-Efficient Gammatone Filters Based on Stochastic Computation 査読有り
Naoya Onizawa, Shunsuke Koshita, Shuichi Sakamoto, Masahide Abe, Masayuki Kawamata, Takahiro Hanyu
IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 25 (10) 2724-2735 2017年10月
DOI: 10.1109/TVLSI.2017.2687404
ISSN:1063-8210
eISSN:1557-9999
-
VLSI Implementation of Deep Neural Network Using Integral Stochastic Computing 査読有り
Arash Ardakani, Francois Leduc-Primeau, Naoya Onizawa, Takahiro Hanyu, Warren J. Gross
IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 25 (10) 2688-2699 2017年10月
DOI: 10.1109/TVLSI.2017.2654298
ISSN:1063-8210
eISSN:1557-9999
-
Energy-Efficient High-Performance Nonvolatile VLSI Processor with a Temporary-Data Reuse Technique 査読有り
M. Natsui, T. Hanyu
2017 International Conference on Solid State Devices and Materials (SSDM2017) 977-978 2017年9月
-
Design of an MTJ-Oriented Nonvolatile Lookup Table Circuit with Write-Operation Minimizing 査読有り
Daisuke Suzuki, Takahiro Hanyu
2017 International Conference on Solid State Devices and Materials (SSDM2017) 195-196 2017年9月
-
Accuracy/Energy-Flexible Stochastic Configurable 2D Gabor Filter with Instant-on Capability 査読有り
N. Onizawa, K. Matsumiya, W. J. Gross, T. Hanyu
43rd European Solid-State Circuit Conference (ESSCIRC) 43-46 2017年9月
DOI: 10.1109/ESSCIRC.2017.8094521
-
NoC-MRAM architecture for memory-based computing: Database-search case study 査読有り
M. Rizk, J-Ph. Diguet, N. Onizawa, A. Baghdadi, M. J. Sepulveda, Y. Akgul, V. Gripon, T. Hanyu
Proceedings - 2017 IEEE 15th International New Circuits and Systems Conference, NEWCAS 2017 309-312 2017年8月11日
出版者・発行元: Institute of Electrical and Electronics Engineers Inc.DOI: 10.1109/NEWCAS.2017.8010167
-
Evaluation of reinitialization-free nonvolatile computer systems for energy-harvesting Internet of things applications 査読有り
Naoya Onizawa, Akira Tamakoshi, Takahiro Hanyu
JAPANESE JOURNAL OF APPLIED PHYSICS 56 (8) 0802B7-1-0802B7-7 2017年8月
ISSN:0021-4922
eISSN:1347-4065
-
Energy-Efficient and Highly-Reliable Nonvolatile FPGA Using Self-Terminated Power-Gating Scheme 査読有り
Daisuke Suzuki, Takahiro Hanyu
IEICE TRANSACTIONS ON INFORMATION AND SYSTEMS E100D (8) 1618-1624 2017年8月
DOI: 10.1587/transinf.2016LOP0015
ISSN:1745-1361
-
High-Accuracy and Area-Efficient Stochastic FIR Digital Filters Based on Hybrid Computation 査読有り
Shunsuke Koshita, Naoya Onizawa, Masahide Abe, Takahiro Hanyu, Masayuki Kawamata
IEICE TRANSACTIONS ON INFORMATION AND SYSTEMS E100D (8) 1592-1602 2017年8月
DOI: 10.1587/transinf.2016LOP0011
ISSN:1745-1361
-
Evaluation of Stochastic Cascaded IIR Filters 査読有り
Naoya Onizawa, Shunsuke Koshita, Shuichi Sakamoto, Masayuki Kawamata, Takahiro Hanyu
Proceedings of The International Symposium on Multiple-Valued Logic 224-229 2017年6月30日
出版者・発行元: IEEE Computer SocietyISSN:0195-623X
-
Challenge of Spintronics-Based Nonvolatile VLSI Processor with a Sudden Power-Outage Resilient In-Processor Checkpointing 招待有り
Takahiro Hanyu
2017 Spintronics Workshop on LSI 3 2017年6月
-
Origin of variation of shift field via annealing at 400◦C in a perpendicular-anisotropy magnetic tunnel junction with [Co/Pt]-multilayers based synthetic ferrimagnetic reference layer 査読有り
Takahiro Hanyu, Tetsuo Endoh, Daisuke Suzuki, Hiroki Koike, Yitao Ma, Naoya Onizawa, Masanori Natsui, Shoji Ikeda, Hideo Ohno
AIP Advances 7 (5) 055913-1-055913-5 2017年5月
DOI: 10.1063/1.4973946
ISSN:2158-3226
-
Challenge of MOS/MTJ-Hybrid Nonvolatile VLSI Processor for IoE Applications 招待有り
Takahiro Hanyu
Emerging Technologies of Communications, Microsystems, Optoelectronics and Sensors 2017 (ETCMOS 2017) 2017年5月
-
MTJ-Based Nonvolatile FPGA; the Present and the Future Technology Trends 招待有り
Daisuke Suzuki, Takahiro Hanyu
26th International Workshop on Post-Binary ULSI Systems 2 2017年5月
-
Sudden Power-Outage Resilient In-Processor Checkpointing for Energy-Harvesting Nonvolatile Processors 査読有り
Naoya Onizawa, Akira Mochizuki, Akira Tamakoshi, Takahiro Hanyu
IEEE TRANSACTIONS ON EMERGING TOPICS IN COMPUTING 5 (2) 151-163 2017年4月
DOI: 10.1109/TETC.2016.2604083
ISSN:2168-6750
-
Design of a variation-resilient single-ended non-volatile six-input lookup table circuit with a redundant-magnetic tunnel junction-based active load for smart Internet-of-things applications 査読有り
D. Suzuki, M. Natsui, S. Ikeda, T. Endoh, H. Ohno, T. Hanyu
Electronics Letters 53 (7) 456-458 2017年3月30日
出版者・発行元: Institution of Engineering and TechnologyDOI: 10.1049/el.2016.4233
ISSN:0013-5194
-
Soft/write-error-resilient CMOS/magnetic tunnel junction nonvolatile flip-flop based on majority-decision shared writing
Onizawa Naoya, Hanyu Takahiro
Jpn. J. Appl. Phys. 56 (4) 04CF12 2017年3月21日
出版者・発行元: Institute of PhysicsISSN:0021-4922
-
Design of a variation-resilient single-ended non-volatile six-input lookup table circuit with a redundant-magnetic tunnel junction-based active load for smart Internet-of-things applications 査読有り
D. Suzuki, M. Natsui, S. Ikeda, T. Endoh, H. Ohno, T. Hanyu
ELECTRONICS LETTERS 53 (7) 2017年3月
DOI: 10.1049/el.2016.4233
ISSN:0013-5194
eISSN:1350-911X
-
A spin transfer torque magnetoresistance random access memory-based high-density and ultralow-power associative memory for fully data-adaptive nearest neighbor search with current-mode similarity evaluation and time-domain minimum searching 査読有り
Yitao Ma, Sadahiko Miura, Hiroaki Honjo, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno, Tetsuo Endoh
Japanease Journal of Applied Physics 56 (4S) 04CF08 2017年3月
出版者・発行元: Japan Society of Applied PhysicsISSN:0021-4922
eISSN:1347-4065
-
A Soft/Write-Error Resilient CMOS/MTJ Nonvolatile Flip-Flop Based on Majority-Decision Shared Writing 査読有り
Naoya Onizawa, Takahiro Hanyu
Japanese Journal of Applied Physics 56 (4S) 04CF12-1-04CF12-6 2017年3月
-
Fabrication of an MTJ-Based Nonvolatile Logic-in-Memory LSI with Content-Aware Write Error Masking Scheme Achieving 92% Storage Capacity and 79% Power Reduction 査読有り
Masanori Natsui, Akira Tamakoshi, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
Japanese Journal of Applied Physics 56 (4S) 04CN01-1-04CN01-5 2017年3月
-
Design of a Low-Power Nonvolatile Flip-Flop Using 3-Terminal Magnetic-Tunnel-Junction-Based Self-Terminated Mechanism 査読有り
Daisuke Suzuki, Takahiro Hanyu
Japanese Journal of Applied Physics 56 (4S) 04CN06-1-04CN06-5 2017年3月
出版者・発行元: Institute of PhysicsISSN:0021-4922
-
Challenge of Spintronics-Device-Based Non-volatile Logic-in-Memory Architecture for Internet-of-Things Applications 招待有り
T. Hanyu
BIT's 3rd Annual World Congress of Smart Materials-2017 262 2017年3月
-
Fabrication of a magnetic-tunnel-junction-based nonvolatile logic-in-memory LSI with content-aware write error masking scheme achieving 92% storage capacity and 79% power reduction
Natsui Masanori, Tamakoshi Akira, Endoh Tetsuo, Ohno Hideo, Hanyu Takahiro
Jpn. J. Appl. Phys. 56 (4) 04CN01 2017年2月16日
出版者・発行元: Institute of PhysicsISSN:0021-4922
-
脳型計算に基づく車載ネットワークの不正侵入検出法
須田 拓樹, 夏井 雅典, 羽生 貴弘
電気関係学会東北支部連合大会講演論文集 2017 60-60 2017年
出版者・発行元: 電気関係学会東北支部連合大会実行委員会 -
Three-Terminal MTJ-Based Nonvolatile Logic Circuits with Self-Terminated Writing Mechanism for Ultra-Low-Power VLSI Processor 招待有り 査読有り
Takahiro Hanyu, Daisuke Suzuki, Naoya Onizawa, Masanori Natsui
PROCEEDINGS OF THE 2017 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION (DATE) 548-553 2017年
DOI: 10.23919/DATE.2017.7927048
ISSN:1530-1591
-
Beyond MRAM: Nonvolatile Logic-in-Memory VLSI 査読有り
Takahiro Hanyu, Tetsuo Endoh, Shoji Ikeda, Tadahiko Sugibayashi, Naoki Kasai, Daisuke Suzuki, Masanori Natsui, Hiroki Koike, Hideo Ohno
Introduction to Magnetic Random-Access Memory 199-229 2016年11月26日
出版者・発行元: wileyDOI: 10.1002/9781119079415.ch7
-
A Self-Terminated One-Phase Write Driver for Complementary-MTJ Based Memory Cells 査読有り
Daisuke Suzuki, Takahiro Hanyu
61th Annual Conference on Magnetism & Magnetic Materials (MMM) 554 2016年11月
-
Standby-Power-Free Integrated Circuits Using MTJ-Based VLSI Computing 査読有り
Takahiro Hanyu, Tetsuo Endoh, Daisuke Suzuki, Hiroki Koike, Yitao Ma, Naoya Onizawa, Masanori Natsui, Shoji Ikeda, Hideo Ohno
PROCEEDINGS OF THE IEEE 104 (10) 1844-1863 2016年10月
DOI: 10.1109/JPROC.2016.2574939
ISSN:0018-9219
eISSN:1558-2256
-
Highly Reliable MTJ-Based Nonvolatile Logicin-Memory LSI with Content-Aware Write Error Masking Scheme 査読有り
M.Natsui, A.Tamakoshi, T.Endoh, H.Ohno, T.Hanyu
International Conference on Solid State Devices and Materials (SSDM) B-2-03 77-78 2016年9月26日
-
A Compact and Ultra-Low-Power STT-MRAMBased Associative Memory for Nearest Neighbor Search with Full Adaptivity of Template Data Format Employing Current-Mode Similarity Evaluation and Time-Domain Minimum Searching 査読有り
Y.Ma, S.Miura, H.Honjo, S.Ikeda, T.Hanyu, H.Ohno, T.Endoh
International Conference on Solid State Devices and Materials (SSDM) B-2-06 83-84 2016年9月26日
-
Analog-to-Stochastic Converter Using Magnetic Tunnel Junction Devices for Vision Chips 査読有り
Naoya Onizawa, Daisaku Katagiri, Warren J. Gross, Takahiro Hanyu
IEEE TRANSACTIONS ON NANOTECHNOLOGY 15 (5) 705-714 2016年9月
DOI: 10.1109/TNANO.2015.2511151
ISSN:1536-125X
eISSN:1941-0085
-
Highly Reliable MTJ-Based Motion-Vector Prediction Unit with Dynamic Write Error Masking Scheme 査読有り
Masanori Natsui, Akira Tamakoshi, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
Proc. of 2016 International Conference on Solid State Devices and Materials 77-78 2016年9月
-
A Soft/Write-Error Resilient CMOS/MTJ Nonvolatile Flip-Flop Based on Majority-Decision Shared Writing 査読有り
Naoya Onizawa, Takahiro Hanyu
Proc. of 2016 International Conference on Solid State Devices and Materials 79-80 2016年9月
-
A Self-Terminated Energy-Efficient Nonvolatile Flip-Flop Using 3-terminal Magnetic Tunnel Junction Device 査読有り
Daisuke Suzuki, Takahiro Hanyu
Proc. of 2016 International Conference on Solid State Devices and Materials 911-912 2016年9月
-
Stochastic behavior-considered VLSI CAD environment for MTJ/MOS-hybrid microprocessor design 査読有り
M. Natsui, A. Tamakoshi, A. Mochizuki, H. Koike, H. Ohno, T. Endoh, T. Hanyu
Proceedings - IEEE International Symposium on Circuits and Systems 2016- 1878-1881 2016年7月29日
出版者・発行元: Institute of Electrical and Electronics Engineers Inc.DOI: 10.1109/ISCAS.2016.7538938
ISSN:0271-4310
-
An Overview of Nonvolatile Emerging Memories-Spintronics for Working Memories 査読有り
Tetsuo Endoh, Hiroki Koike, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno
IEEE JOURNAL ON EMERGING AND SELECTED TOPICS IN CIRCUITS AND SYSTEMS 6 (2) 109-119 2016年6月
DOI: 10.1109/JETCAS.2016.2547704
ISSN:2156-3357
-
Power-Gated Single-Track Asynchronous Circuits Using Three-Terminal MTJ-Based Nonvolatile Devices for Energy Harvesting Systems 査読有り
T. Yoneda, N. Onizawa, M. Imai, T. Hanyu
22nd IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC) Fresh Idea Track 9-10 2016年5月
-
A 600-μW Ultra-Low-Power Associative Processor for Image Pattern Recognition Employing MTJ-Based Nonvolatile Memories with Autonomic Intelligent Power-Gating (IPG) Scheme 査読有り
Yitao Ma, Sadahiko Miura, Hiroaki Honjo, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno, Tetsuo Endo
Japanese Journal of Applied Physics (JJAP) 55 (4) 04EF15-1-04EF15-11 2016年4月
ISSN:0021-4922
eISSN:1347-4065
-
Hardware Implementation of Associative Memories Based on Multiple-Valued Sparse Clustered Networks 査読有り
Naoya Onizawa, Hooman Jarollahi, Takahiro Hanyu, Warren J. Gross
IEEE JOURNAL ON EMERGING AND SELECTED TOPICS IN CIRCUITS AND SYSTEMS 6 (1) 13-24 2016年3月
DOI: 10.1109/JETCAS.2016.2528721
ISSN:2156-3357
-
スピントロニクスのデバイス応用 招待有り 査読有り
遠藤哲郎, 小池洋紀, 池田正二, 羽生貴弘, 大野英男
電子情報通信学会論文誌 C J99-C (1) 1-9 2016年1月14日
-
Approximate Computingに基づく脳型LSIの高精度・省電力・省面積実装技術の一考察
加藤 健太郎, 夏井 雅典, 羽生 貴弘
電気関係学会東北支部連合大会講演論文集 2016 40-40 2016年
出版者・発行元: 電気関係学会東北支部連合大会実行委員会 -
Evaluation of Soft-Delay-Error Effects in Content-Addressable Memory 査読有り
N. Onizawa, N. Sakimura, R. Nebashi, T. Sugibayashi, T. Hanyu
JOURNAL OF MULTIPLE-VALUED LOGIC AND SOFT COMPUTING 26 (1-2) 125-140 2016年
ISSN:1542-3980
eISSN:1542-3999
-
Gammatone Filter Based on Stochastic Computation 査読有り
Naoya Onizawa, Shunsuke Koshita, Shuichi Sakamoto, Masahide Abe, Masayuki Kawamata, Takahiro Hanyu
2016 IEEE INTERNATIONAL CONFERENCE ON ACOUSTICS, SPEECH AND SIGNAL PROCESSING PROCEEDINGS 1036-1040 2016年
DOI: 10.1109/ICASSP.2016.7471833
ISSN:1520-6149
-
Energy-Efficient and Highly-Reliable Nonvolatile FPGA Using Self-Terminated Power-Gating Scheme 査読有り
Daisuke Suzuki, Takahiro Hanyu
2016 IEEE 46TH INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC (ISMVL 2016) 5-10 2016年
ISSN:0195-623X
-
Context-Based Error Correction Scheme Using Recurrent Neural Network for Resilient and Efficient Intra-Chip Data Transmission 査読有り
Naoto Sugaya, Masanori Natsui, Takahiro Hanyu
2016 IEEE 46TH INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC (ISMVL 2016) 72-77 2016年
ISSN:0195-623X
-
Realization of FIR Digital Filters Based on Stochastic/Binary Hybrid Computation 査読有り
Shunsuke Koshita, Naoya Onizawa, Masahide Abe, Takahiro Hanyu, Masayuki Kawamata
2016 IEEE 46TH INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC (ISMVL 2016) 223-228 2016年
ISSN:0195-623X
-
Stochastic Behavior-Considered VLSI CAD Environment for MTJ/MOS-Hybrid Microprocessor Design 査読有り
M. Natsui, A. Tamakoshi, A. Mochizuki, H. Koike, H. Ohno, T. Endoh, T. Hanyu
2016 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS) 1878-1881 2016年
DOI: 10.1109/ISCAS.2016.7538938
ISSN:0271-4302
-
Redundant STT-MTJ-Based Nonvolatile Flip-Flops for Low Write-Error-Rate Operations 査読有り
Naoya Onizawa, Takahiro Hanyu
2016 14TH IEEE INTERNATIONAL NEW CIRCUITS AND SYSTEMS CONFERENCE (NEWCAS) 1-4 2016年
DOI: 10.1109/NEWCAS.2016.7604792
ISSN:2472-467X
-
A Study of a Top-Down Error Correction Technique Using Recurrent-Neural-Network-Based Learning 査読有り
Masanori Natsui, Naoto Sugaya, Takahiro Hanyu
2016 14TH IEEE INTERNATIONAL NEW CIRCUITS AND SYSTEMS CONFERENCE (NEWCAS) 2016年
DOI: 10.1109/NEWCAS.2016.7604786
ISSN:2472-467X
-
A Low-Power MTJ-Based Nonvolatile FPGA Using Self-Terminated Logic-In-Memory Structure 査読有り
Daisuke Suzuki, Takahiro Hanyu
2016 26TH INTERNATIONAL CONFERENCE ON FIELD PROGRAMMABLE LOGIC AND APPLICATIONS (FPL) 1-4 2016年
ISSN:1946-1488
-
VLSI Implementation of Deep Neural Networks Using Integral Stochastic Computing 査読有り
Arash Ardakani, Fracois Leduc-Primeau, Naoya Onizawa, Takahiro Hanyu, Warren J. Gross
2016 9TH INTERNATIONAL SYMPOSIUM ON TURBO CODES AND ITERATIVE INFORMATION PROCESSING (ISTC) 216-220 2016年
DOI: 10.1109/ISTC.2016.7593108
ISSN:2165-4700
-
MTJ素子を活用した高性能・高信頼VLSI設計技術 招待有り 査読有り
夏井雅典, 鈴木大輔, 池田正二, 遠藤哲郎, 大野英男, 羽生貴弘
応用物理学会スピントロニクス研究会・日本磁気学会スピンエレクトロニクス専門研究会・日本磁気学会ナノマグネティックス専門研究会共同主催研究会 2015年11月12日
-
Spintronics-Based Logic-in-Memory Architecture Towards Dark Silicon Era 招待有り
T. Hanyu
International Workshop: Spintronics VLSI 9 2015年11月
-
Challenge of MTJ-based nonvolatile logic-in-memory architecture for ultra low-power and highly dependable VLSI computing 査読有り
Takahiro Hanyu, Masanori Natsui, Daisuke Suzuki, Akira Mochizuki, Naoya Onizawa, Shoji Ikeda, Tetsuo Endoh, Hideo Ohno
2015 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), 1-3 2015年10月5日
-
A 600-μW Ultra-Low-Power Associative Processor for Image Pattern Recognition Employing Magnetic Tunnel Junction (MTJ) Based Nonvolatile Memories with Novel Intelligent Power-Gating (IPG) Scheme 査読有り
Y. Ma, S. Miura, H. Honjo, S. Ikeda, T. Hanyu, H. Ohno, T. Shibata, T. Endoh
2015 International Conference on Solid State Devices and Materials(SSDM) O-4-2 1172-1173 2015年9月29日
-
Gabor Filter Based on Stochastic Computation 査読有り
Naoya Onizawa, Daisaku Katagiri, Kazumichi Matsumiya, Warren J. Gross, Takahiro Hanyu
IEEE SIGNAL PROCESSING LETTERS 22 (9) 1224-1228 2015年9月
ISSN:1070-9908
eISSN:1558-2361
-
Challenge of MOS/MTJ-Hybrid Integrated Circuits Based on Nonvolatile Logic-in-Memory Architecture
T. Hanyu
2015 Spintronics Workshop on LSI 7 2015年6月
-
Magnetic-tunnel-junction based low-energy nonvolatile flip-flop using an area-efficient self-terminated write driver 査読有り
Daisuke Suzuki, Takahiro Hanyu
JOURNAL OF APPLIED PHYSICS 117 (17) 17B504-1-17B504-3 2015年5月
DOI: 10.1063/1.4906760
ISSN:0021-8979
eISSN:1089-7550
-
不揮発ロジックインメモリアーキテクチャとその低電力VLSIシステムへの応用 招待有り 査読有り
羽生貴弘, 鈴木大輔, 望月明, 夏井雅典, 鬼沢直哉, 杉林直彦, 池田正二, 遠藤哲郎, 大野英男
集積回路研究会 115 (6) 57-61 2015年4月17日
出版者・発行元: 電子情報通信学会ISSN:0913-5685
-
Nonvolatile field-programmable gate array using 2-transistor-1-MTJ-cell-based multi-context array for power and area efficient dynamically reconfigurable logic 査読有り
Daisuke Suzuki, Takahiro Hanyu
JAPANESE JOURNAL OF APPLIED PHYSICS 54 (4) 2015年4月
ISSN:0021-4922
eISSN:1347-4065
-
Power-gated 32 bit microprocessor with a power controller circuit activated by deep-sleep-mode instruction achieving ultra-low power operation 査読有り
Hiroki Koike, Takashi Ohsawa, Sadahiko Miura, Hiroaki Honjo, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno, Tetsuo Endoh
JAPANESE JOURNAL OF APPLIED PHYSICS 54 (4) 04DE08 2015年4月
ISSN:0021-4922
eISSN:1347-4065
-
Nonvolatile Logic-in-Memory LSI Using Cycle-Based Power Gating and its Application to Motion-Vector Prediction 査読有り
Masanori Natsui, Daisuke Suzuki, Noboru Sakimura, Ryusuke Nebashi, Yukihide Tsuji, Ayuka Morioka, Tadahiko Sugibayashi, Sadahiko Miura, Hiroaki Honjo, Keizo Kinoshita, Shoji Ikeda, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
IEEE JOURNAL OF SOLID-STATE CIRCUITS 50 (2) 476-489 2015年2月
DOI: 10.1109/JSSC.2014.2362853
ISSN:0018-9200
eISSN:1558-173X
-
Nonvolatile Logic-in-Memory Architecture for Ultra-Low-Power VLSI Systems 招待有り 査読有り
T. Hanyu
Forum in ISSCC 2015 2015年2月
-
リカレントニューラルネットワークに基づく時系列データ誤り訂正技術とその応用
菅谷 直登, 夏井 雅典, 羽生 貴弘
電気関係学会東北支部連合大会講演論文集 2015 93-93 2015年
出版者・発行元: 電気関係学会東北支部連合大会実行委員会 -
MTJベース不揮発ロジックLSIにおける電源スイッチ構造の最適化設計
田畑 佑樹, 鈴木 大輔, 羽生 貴弘
電気関係学会東北支部連合大会講演論文集 2015 92-92 2015年
出版者・発行元: 電気関係学会東北支部連合大会実行委員会 -
Fabrication of a 3000-6-Input-LUTs Embedded and Block-Level Power-Gated Nonvolatile FPGA Chip Using p-MTJ-Based Logic-in-Memory Structure 査読有り
D. Suzuki, M. Natsui, A. Mochizuki, S. Miura, H. Honjo, H. Sato, S. Fukami, S. Ikeda, T. Endoh, H. Ohno, T. Hanyu
2015 SYMPOSIUM ON VLSI CIRCUITS (VLSI CIRCUITS) 2015-August 7223644 2015年
DOI: 10.1109/VLSIT.2015.7223644
-
Spintronics-Based Nonvolatile Logic-in-Memory Architecture Towards an Ultra-Low-Power and Highly Reliable VLSI Computing Paradigm 招待有り 査読有り
Takahiro Hanyu, Daisuke Suzuki, Naoya Onizawa, Shoun Matsunaga, Masanori Natsui, Akira Mochizuki
2015 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION (DATE) 1006-+ 2015年
ISSN:1530-1591
-
Early-Stage Operation-Skipping Scheme for Low-Power Stochastic Image Processors 査読有り
Daisaku Katagiri, Naoya Onizawa, Takahiro Hanyu
2015 IEEE 45TH INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC 109-114 2015年
ISSN:0195-623X
-
Write-Operation Frequency Reduction for Nonvoratile Logic LSI with a Short Break-Even Time 査読有り
Takeaki Akutsu, Masanori Natsui, Takahiro Hanyu
2015 IEEE 45TH INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC 152-157 2015年
ISSN:0195-623X
-
Design of an STT-MTJ Based True Random Number Generator Using Digitally Controlled Probability-Locked Loop 査読有り
Satoshi Oosawa, Takayuki Konishi, Naoya Onizawa, Takahiro Hanyu
2015 IEEE 13TH INTERNATIONAL NEW CIRCUITS AND SYSTEMS CONFERENCE (NEWCAS) 2015年
DOI: 10.1109/NEWCAS.2015.7182089
ISSN:2472-467X
-
Fabrication of a 3000-6-Input-LUTs Embedded and Block-Level Power-Gated Nonvolatile FPGA Chip Using p-MTJ-Based Logic-in-Memory Structure 査読有り
D. Suzuki, M. Natsui, A. Mochizuki, S. Miura, H. Honjo, H. Sato, S. Fukami, S. Ikeda, T. Endoh, H. Ohno, T. Hanyu
2015 SYMPOSIUM ON VLSI TECHNOLOGY (VLSI TECHNOLOGY) 172-173 2015年
-
A Sudden Power-Outage Resilient Nonvolatile Microprocessor for Immediate System Recovery 査読有り
Naoya Onizawa, Akira Mochizuki, Akira Tamakoshi, Takahiro Hanyu
PROCEEDINGS OF THE 2015 IEEE/ACM INTERNATIONAL SYMPOSIUM ON NANOSCALE ARCHITECTURES (NANOARCH 15) 39-44 2015年
DOI: 10.1109/NANOARCH.2015.7180584
ISSN:2327-8218
-
Frequency-Flexible Stochastic Gabor Filter 査読有り
Naoya Onizawa, Daisaku Katagiri, Kazumichi Matsumiya, Warren J. Gross, Takahiro Hanyu
2015 IEEE INTERNATIONAL CONFERENCE ON DIGITAL SIGNAL PROCESSING (DSP) 458-462 2015年
DOI: 10.1109/ICDSP.2015.7251914
-
Design of an MTJ-Based Nonvolatile Lookup Table Circuit Using an Energy-Efficient Single-Ended Logic-In-Memory Structure 査読有り
Daisuke Suzuki, Takahiro Hanyu
2015 IEEE 58TH INTERNATIONAL MIDWEST SYMPOSIUM ON CIRCUITS AND SYSTEMS (MWSCAS) 317-320 2015年
DOI: 10.1109/MWSCAS.2015.7282195
ISSN:1548-3746
-
Scaled IIR Filter Based on Stochastic Computation 査読有り
Naoya Onizawa, Shunsuke Koshita, Takahiro Hanyu
2015 IEEE 58TH INTERNATIONAL MIDWEST SYMPOSIUM ON CIRCUITS AND SYSTEMS (MWSCAS) 297-300 2015年
DOI: 10.1109/MWSCAS.2015.7282118
ISSN:1548-3746
-
Stochastic Implementation of the Disparity Energy Model for Depth Perception 査読有り
Kaushik Boga, Naoya Onizawa, Francois Leduc-Primeau, Kazumichi Matsumiya, Takahiro Hanyu, Warren J. Gross
2015 IEEE INTERNATIONAL WORKSHOP ON SIGNAL PROCESSING SYSTEMS (SIPS 2015) 1-6 2015年
DOI: 10.1109/SiPS.2015.7344982
-
Multiple-Event-Transient Soft-Error Gate-Level Simulator for Harsh Radiation Environments 査読有り
Akira Mochizuki, Naoya Onizawa, Akira Tamakoshi, Takahiro Hanyu
TENCON 2015 - 2015 IEEE REGION 10 CONFERENCE 1-6 2015年
DOI: 10.1109/TENCON.2015.7373147
ISSN:2159-3442
-
Design of a Computational Nonvolatile RAM for a Greedy Energy-Efficient VLSI Processor 査読有り
Akira Mochizuki, Naoto Yube, Takahiro Hanyu
IECON 2015 - 41ST ANNUAL CONFERENCE OF THE IEEE INDUSTRIAL ELECTRONICS SOCIETY 3283-3288 2015年
DOI: 10.1109/IECON.2015.7392606
ISSN:1553-572X
-
磁気ランダムアクセスメモリ(MRAM)の最新技術動向 招待有り 査読有り
小池洋紀, 池田正二, 羽生貴弘, 大野英男, 遠藤哲郎
CVD研究会 2014年12月18日
-
A Nonvolatile Associative Memory-Based Context-Driven Search Engine Using 90 nm CMOS/MTJ-Hybrid Logic-in-Memory Architecture 査読有り
Hooman Jarollahi, Naoya Onizawa, Vincent Gripon, Noboru Sakimura, Tadahiko Sugibayashi, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu, Warren J. Gross
IEEE JOURNAL ON EMERGING AND SELECTED TOPICS IN CIRCUITS AND SYSTEMS 4 (4) 460-474 2014年12月
DOI: 10.1109/JETCAS.2014.2361061
ISSN:2156-3357
-
Cost-Efficient Self-Terminated Write Driver for Spin-Transfer-Torque RAM and Logic 査読有り
Daisuke Suzuki, Masanori Natsui, Akira Mochizuki, Takahiro Hanyu
IEEE TRANSACTIONS ON MAGNETICS 50 (11) 3402104~1-3402104~4 2014年11月
DOI: 10.1109/TMAG.2014.2322387
ISSN:0018-9464
eISSN:1941-0069
-
MTJ-Based Low-Energy Nonvolatile Flip-Flop Using Area-Efficient Self-Terminated Write Driver 査読有り
Daisuke Suzuki, Takahiro Hanyu
59th Annual Conference on Magnetism & Magnetic Materials (MMM) 813 2014年11月
-
Algorithm and Architecture for a Multiple-Field Context-Driven Search Engine Using Fully-Parallel Clustered Associative Memories 査読有り
Hooman Jarollahi, Naoya Onizawa, Takahiro Hanyu, Warren J. Gross
2014 IEEE International Workshop on Signal Processing Systems (SIPS) 133-138 2014年10月
DOI: 10.1007/s11265-014-0886-z
-
A 500ps/8.5ns Array Read/Write Latency 1Mb Twin 1T1MTJ STT-MRAM designed in 90nm CMOS/40nm MTJ Process with Novel Positive Feedback S/A Circuit 査読有り
T. Ohsawa, S. Miura, H. Honjo, S. Ikeda, T. Hanyu, H. Ohno, T. Endoh
International Conference on Solid State Dvices and Materails (SSDM) A-8-3 2014年9月9日
-
Design of an Energy-Efficient Ternary Current-Mode Intra-Chip Communication Link for an Asynchronous Network-on-Chip 査読有り
Akira Mochizuki, Hirokatsu Shirahama, Yuma Watanabe, Takahiro Hanyu
IEICE TRANSACTIONS ON INFORMATION AND SYSTEMS E97D (9) 2304-2311 2014年9月
DOI: 10.1587/transinf.2013LOP0024
ISSN:1745-1361
-
Asynchronous Stochastic Decoding of LDPC Codes: Algorithm and Simulation Model 査読有り
Naoya Onizawa, Warren J. Gross, Takahiro Hanyu, Vincent C. Gaudet
IEICE TRANSACTIONS ON INFORMATION AND SYSTEMS E97D (9) 2286-2295 2014年9月
DOI: 10.1587/transinf.2013LOP0010
ISSN:1745-1361
-
Optimally Self-Terminated Compact Switching Circuit Using Continuous Voltage Monitoring Achieving High Read Margin for STT MRAM and Logic 査読有り
Daisuke Suzuki, Masanori Natsui, Akira Mochizuki, Takahiro Hanyu
IEEE Intermag 2014 2506-2507 2014年9月
-
Challenge of Nonvolatile Logic-in-Memory Architecture: Design Examples and the Future Prospects 招待有り
Takahiro Hanyu
2014 Spintronics Workshop on LSI 3 2014年9月
-
Nonvolatile FPGA Using 2T-1MTJ-Cell-Based Multi-Context Array for Power and Area Efficient Dynamically Reconfigurable Logic 査読有り
Daisuke Suzuki, Takahiro Hanyu
International Conference on Solid State Devices and Materials (SSDM) 450-451 2014年9月
-
Clockless Stochastic Decoding of Low-Density Parity-Check Codes: Architecture and Simulation Model 査読有り
Naoya Onizawa, Warren J. Gross, Takahiro Hanyu, Vincent C. Gaudet
JOURNAL OF SIGNAL PROCESSING SYSTEMS FOR SIGNAL IMAGE AND VIDEO TECHNOLOGY 76 (2) 185-194 2014年8月
DOI: 10.1007/s11265-013-0854-z
ISSN:1939-8018
eISSN:1939-8115
-
High-Throughput Partially Parallel Inter-Chip Link Architecture for Asynchronous Multi-Chip NoCs 査読有り
Naoya Onizawa, Akira Mochizuki, Hirokatsu Shirahama, Masashi Imai, Tomohiro Yoneda, Takahiro Hanyu
IEICE TRANSACTIONS ON INFORMATION AND SYSTEMS E97D (6) 1546-1556 2014年6月
DOI: 10.1587/transinf.E97.D.1546
ISSN:1745-1361
-
Analysis of single-event upset of magnetic tunnel junction used in spintronic circuits caused by radiation-induced current 査読有り
N. Sakimura, R. Nebashi, M. Natsui, H. Ohno, T. Sugibayashi, T. Hanyu
JOURNAL OF APPLIED PHYSICS 115 (17) 17B748-1-17B748-3 2014年5月
DOI: 10.1063/1.4869287
ISSN:0021-8979
eISSN:1089-7550
-
Design and fabrication of a perpendicular magnetic tunnel junction based nonvolatile programmable switch achieving 40% less area using shared-control transistor structure 査読有り
D. Suzuki, M. Natsui, A. Mochizuki, S. Miura, H. Honjo, K. Kinoshita, S. Fukami, H. Sato, S. Ikeda, T. Endoh, H. Ohno, T. Hanyu
JOURNAL OF APPLIED PHYSICS 115 (17) 17B742-1-17B742-3 2014年5月
DOI: 10.1063/1.4868332
ISSN:0021-8979
eISSN:1089-7550
-
Studies on read-stability and write-ability of fast access STT-MRAMs 査読有り
T. Ohsawa, S. Ikeda, T. Hanyu, H. Ohno, T. Endoh
2014 International Symposium on VLSI Technology, Systems and Application (VLSI-TSA) 1-2 2014年4月28日
DOI: 10.1109/VLSI-TSA.2014.6839665
-
全文検索システム向け階層的パワーゲーティングを活用した低エネルギー不揮発TCAMエンジンチップ 査読有り
松永翔雲, 崎村昇, 根橋竜介, 杉林直彦(N, 夏井雅典, 望月明, 遠藤哲郎, 大野英男, 羽生貴弘
信学技報 114 (13) 39-44 2014年4月17日
ISSN:0913-5685
-
1.5ns/2.1nsのランダム読出/書込サイクル時間を達成した不揮発性混載メモリ用1Mb STT-MRAM -6T2MTJセルにバックグラウンド書き込み(BGW)方式を適用 招待有り 査読有り
大澤隆, 小池洋紀, 三浦貞彦, 木下啓藏, 本庄弘明, 池田正二, 羽生貴弘, 大野英男, 遠藤哲郎
信学技報 114 (13) 33-38 2014年4月17日
出版者・発行元: 一般社団法人電子情報通信学会ISSN:0913-5685
-
MTJベース不揮発フリップフロップを用いた3μsec-Entry/Exit 遅延時間のマイクロプロセッサ 招待有り 査読有り
小池洋紀, 崎村昇, 根橋竜介, 辻幸秀, 森岡あゆ香, 三浦貞彦, 本庄弘明, 杉林直彦, 大澤隆, 池田正二, 羽生貴弘, 大野英男, 遠藤哲郎
信学技報 114 (13) 85-90 2014年4月17日
出版者・発行元: 一般社団法人電子情報通信学会ISSN:0913-5685
-
Design and evaluation of a 67% area-less 64-bit parallel reconfigurable 6-input nonvolatile logic element using domain-wall motion devices 査読有り
Daisuke Suzuki, Masanori Natsui, Akira Mochizuki, Takahiro Hanyu
JAPANESE JOURNAL OF APPLIED PHYSICS 53 (4) 04EM03-1-04EM03-5 2014年4月
ISSN:0021-4922
eISSN:1347-4065
-
High-Throughput Low-Energy Self-Timed CAM Based on Reordered Overlapped Search Mechanism 査読有り
Naoya Onizawa, Shoun Matsunaga, Vincent C. Gaudet, Warren J. Gross, Takahiro Hanyu
IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS 61 (3) 865-876 2014年3月
DOI: 10.1109/TCSI.2013.2283997
ISSN:1549-8328
eISSN:1558-0806
-
High-Throughput Compact Delay-Insensitive Asynchronous NoC Router 査読有り
Naoya Onizawa, Atsushi Matsumoto, Tomoyoshi Funazaki, Takahiro Hanyu
IEEE TRANSACTIONS ON COMPUTERS 63 (3) 637-649 2014年3月
DOI: 10.1109/TC.2013.81
ISSN:0018-9340
eISSN:1557-9956
-
Trend of tunnel magnetoresistance and variation in threshold voltage for keeping data load robustness of metal–oxide–semiconductor/magnetic tunnel junction hybrid latches 査読有り
T. Ohsawa, S. Ikeda, T. Hanyu, H. Ohno, T. Endoh
Journal of Applied Physics (JAP) 115 (17) 17C728-1-17C728-3 2014年2月1日
DOI: 10.1063/1.4867129
-
Power Reduction by Power Gating in Differential Pair Type STT-MRAMs for Low-Power Nonvolatile Cache Memories 査読有り
Takashi Ohsawa, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno, Testuo Endoh
Japanese Journal of Applied Physics(JJAP) 53 (4S) 04ED04-1-04ED04-11 2014年2月1日
出版者・発行元: Institute of PhysicsISSN:0021-4922
-
A Two-Transistor Bootstrap Type Selective Device for Spin-Transfer-Torque Magnetic Tunnel Junctions 査読有り
Takashi Ohsawa, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno, Testuo Endoh
Japanese Journal of Applied Physics(JJAP) 53 (4S) 04ED03-1-04ED03-6 2014年2月1日
出版者・発行元: Institute of PhysicsISSN:0021-4922
-
A delay circuit with 4-terminal magnetic-random-access-memory device for power-efficient time- domain signal processing 査読有り
Ryusuke Nebashi, Noboru Sakimura, Hiroaki Honjo, Ayuka Morioka, Yukihide Tsuji, Kunihiko Ishihara, Keiichi Tokutome, Sadahiko Miura, Shunsuke Fukami, Keizo Kinoshita, Takahiro Hanyu, Tetsuo Endoh, Naoki Kasai, Hideo Ohno, Tadahiko Sugibayashi
Proceedings - IEEE International Symposium on Circuits and Systems 1588-1591 2014年
出版者・発行元: Institute of Electrical and Electronics Engineers Inc.DOI: 10.1109/ISCAS.2014.6865453
ISSN:0271-4310
-
Energy-aware current-mode inter-chip link for a dependable GALS NoC platform 査読有り
Hirokatsu Shirahama, Akira Mochizuki, Yuma Watanabe, Takahiro Hanyu
Proceedings - IEEE International Symposium on Circuits and Systems 1865-1868 2014年
出版者・発行元: Institute of Electrical and Electronics Engineers Inc.DOI: 10.1109/ISCAS.2014.6865522
ISSN:0271-4310
-
An NoC-based Evaluation Platform for Safety-Critical Automotive Applications 査読有り
Tomohiro Yoneda, Masashi Imai, Hiroshi Saito, Takahiro Hanyu, Kenji Kise, Yuichi Nakamura
2014 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS (APCCAS) 679-682 2014年
-
Wide operational margin capability of 1 kbit spin-transfer-torque memory array chip with 1-PMOS and 1-bottom-pin-magnetic-tunnel-junction type cell 査読有り
H. Koike, T. Ohsawa, S. Miura, H. Honjo, S. Ikeda, T. Hanyu, H. Ohno, T. Endoh
Japanese Journal of Applied Physics 53 (4 SPEC. ISSUE) 2014年
ISSN:0021-4922
eISSN:1347-4065
-
A 1Mb Nonvolatile Embedded Memory Using 4T2MTJ Cell with 32b Fine-Grained Power Gating Scheme 査読有り
T. Ohsawa, H. Koike, S. Miura, H. Honjo, K. Kinoshita, S. Ikeda, T. Hanyu, H. Ohno
IEEE Journal of Solid State Circuits 48 (6) 1511-1520 2014年
DOI: 10.1109/JSSC.2013.2253412
ISSN:0018-9200
-
Design of an energy-efficient 2T-2MTJ nonvolatile TCAM based on a parallel-serial-combined search scheme 査読有り
Shoun Matsunaga, Akira Mochizuki, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
IEICE ELECTRONICS EXPRESS 11 (3) 20131006-1-20131006-10 2014年
ISSN:1349-2543
-
A 90nm 20MHz Fully Nonvolatile Microcontroller for Standby-Power-Critical Applications 査読有り
Noboru Sakimura, Yukihide Tsuji, Ryusuke Nebashi, Hiroaki Honjo, Ayuka Morioka, Kunihiko Ishihara, Keizo Kinoshita, Shunsuke Fukami, Sadahiko Miura, Naoki Kasai, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu, Tadahiko Sugibayashi
2014 IEEE INTERNATIONAL SOLID-STATE CIRCUITS CONFERENCE DIGEST OF TECHNICAL PAPERS (ISSCC) 57 184-+ 2014年
DOI: 10.1109/ISSCC.2014.6757392
ISSN:0193-6530
-
Fabrication of a MTJ-Based Multilevel Resistor Towards Process-Variaton-Resilient Logic LSI 査読有り
Masanori Natsui, Takahiro Hanyu
2014 IEEE 12TH INTERNATIONAL NEW CIRCUITS AND SYSTEMS CONFERENCE (NEWCAS) 468-471 2014年
DOI: 10.1109/NEWCAS.2014.6934084
ISSN:2472-467X
-
Design of a Soft-Error Tolerant 9-Transistor/6-Magnetic-Tunnel-Junction Hybrid Cell Based Nonvolatile TCAM 査読有り
Naoya Onizawa, Shoun Matsunaga, Takahiro Hanyu
2014 IEEE 12TH INTERNATIONAL NEW CIRCUITS AND SYSTEMS CONFERENCE (NEWCAS) 193-196 2014年
DOI: 10.1109/NEWCAS.2014.6934016
ISSN:2472-467X
-
Complementary 5T-4MTJ nonvolatile TCAM cell circuit with phase-selective parallel writing scheme 査読有り
Shoun Matsunaga, Akira Mochizuki, Noboru Sakimura, Ryusuke Nebashi, Tadahiko Sugibayashi, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
IEICE ELECTRONICS EXPRESS 11 (10) 20140297-1-20140297-7 2014年
ISSN:1349-2543
-
A compact low-power nonvolatile flip-flop using domain-wall-motion-device-based single-ended structure 査読有り
Daisuke Suzuki, Noboru Sakimura, Masanori Natsui, Akira Mochizuki, Tadahiko Sugibayashi, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
IEICE ELECTRONICS EXPRESS 11 (13) 20140296-1-20140296-11 2014年
ISSN:1349-2543
-
A compact soft-error tolerant asynchronous TCAM based on a transistor/magnetic-tunnel-junction hybrid dual-rail word structure 査読有り
Naoya Onizawa, Shoun Matsunaga, Takahiro Hanyu
Proceedings - International Symposium on Asynchronous Circuits and Systems 1-8 2014年
出版者・発行元: IEEE Computer SocietyDOI: 10.1109/ASYNC.2014.9
ISSN:1522-8681
-
Design of a Quaternary Single-Ended Current-Mode Circuit for an Energy-Efficient Inter-Chip Asynchronous Communication Link 査読有り
Akira Mochizuki, Hirokatsu Shirahama, Takahiro Hanyu
2014 IEEE 44TH INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC (ISMVL 2014) 67-72 2014年
ISSN:0195-623X
-
Associative Memories Based on Multiple-Valued Sparse Clustered Networks 査読有り
Hooman Jarollahi, Naoya Onizawa, Takahiro Hanyu, Warren J. Gross
2014 IEEE 44TH INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC (ISMVL 2014) 208-213 2014年
ISSN:0195-623X
-
Soft-Delay-Error Evaluation in Content-Addressable Memory 査読有り
Naoya Onizawa, Shoun Matsunaga, Noboru Sakimura, Ryusuke Nebashi, Tadahiko Sugibayashi, Takahiro Hanyu
2014 IEEE 44TH INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC (ISMVL 2014) 220-225 2014年
ISSN:0195-623X
-
Variation-effect analysis of MTJ-based multiple-valued programmable resistors 査読有り
Masanori Natsui, Takahiro Hanyu
Proceedings of The International Symposium on Multiple-Valued Logic 243-247 2014年
出版者・発行元: IEEE Computer SocietyISSN:0195-623X
-
Energy-Aware Current-Mode Inter-Chip Link for a Dependable GALS NoC Platform 査読有り
Hirokatsu Shirahama, Akira Mochizuki, Yuma Watanabe, Takahiro Hanyu
2014 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS) 1865-1868 2014年
DOI: 10.1109/ISCAS.2014.6865522
ISSN:0271-4302
-
A Delay Circuit with 4-Terminal Magnetic-Random-Access-Memory Device for Power-Efficient Time-Domain Signal Processing 査読有り
Ryusuke Nebashi, Noboru Sakimura, Hiroaki Honjo, Ayuka Morioka, Yukihide Tsuji, Kunihiko Ishihara, Keiichi Tokutome, Sadahiko Miura, Shunsuke Fukami, Keizo Kinoshita, Takahiro Hanyu, Tetsuo Endoh, Naoki Kasai, Hideo Ohno, Tadahiko Sugibayashi
2014 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS) 1588-1591 2014年
DOI: 10.1109/ISCAS.2014.6865453
ISSN:0271-4302
-
Analog-to-Stochastic Converter Using Magnetic-Tunnel Junction Devices 査読有り
Naoya Onizawa, Daisaku Katagiri, Warren J. Gross, Takahiro Hanyu
2014 IEEE/ACM INTERNATIONAL SYMPOSIUM ON NANOSCALE ARCHITECTURES (NANOARCH) 59-64 2014年
DOI: 10.1109/NANOARCH.2014.6880490
ISSN:2327-8218
-
Highly Reliable Single-Ended Current-Mode Circuit for an Inter-Chip Asynchronous Communication Link 査読有り
Akira Mochizuki, Hirokatsu Shirahama, Naoya Onizawa, Takahiro Hanyu
2014 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS (APCCAS) 683-686 2014年
DOI: 10.1109/APCCAS.2014.7032873
-
Soft-error tolerant transistor/magnetic-tunnel-junction hybrid non-volatile C-element 査読有り
Naoya Onizawail, Takahiro Hanyu
IEICE ELECTRONICS EXPRESS 11 (24) 20141017 2014年
ISSN:1349-2543
-
Challenge of MOS/MTJ-Hybrid Nonvolatile Logic-in-Memory Architecture in Dark-Silicon Era 招待有り 査読有り
Takahiro Hanyu, Daisuke Suzuki, Akira Mochizuki, Masanori Natsui, Naoya Onizawa, Tadahiko Sugibayashi, Shoji Ikeda, Tetsuo Endoh, Hideo Ohno
2014 IEEE INTERNATIONAL ELECTRON DEVICES MEETING (IEDM) 28.2.1-28.2.3 2014年
DOI: 10.1109/IEDM.2014.7047124
-
Fabrication of a magnetic tunnel junction-based 240-tile nonvolatile field-programmable gate array chip skipping wasted write operations for greedy power-reduced logic applications 査読有り
Daisuke Suzuki, Masanori Natsui, Akira Mochizuki, Sadahiko Miura, Hiroaki Honjo, Keizo Kinoshita, Hideo Sato, Shoji Ikeda, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
IEICE Electronics Express 10 (23) 20130772 2013年11月21日
ISSN:1349-2543
-
A Power-Gated MPU with 3-microsecond Entry/Exit Delay using MTJ-Based Nonvolatile Flip-Flop 査読有り
Hiroki Koike, Takashi Ohsawa, Noboru Sakimura, Ryusuke Nebashi, Yukihide Tsuji, Ayuka Morioka, Katsuya Miura, Hiroaki Honjo, Tadahiko Sugibayashi, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno, Tetsuo Endoh
IEEE Asian Solid-State Circuits Conference (ASSCC2013) 317-320 2013年11月11日
DOI: 10.1109/ASSCC.2013.6691046
-
Trend of TMR and Variation in Vth for Keeping Data Load Robustness of MOS/MTJ Hybrid Latches 査読有り
Takashi Ohsawa, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno, Tetsuo Endoh
The 58th Annual Magnetism and Magnetic Materials Conference (MMM2013) GT-10 693-693 2013年11月4日
-
MTJ resistance distribution and its bit error rate of 1-kbit 1T-1MTJ STT-MRAM cell arrays fabricated on a 300-mm wafer 査読有り
H. Koike, T. Ohsawa, S. Miura, H. Honjo, S. Ikeda, T. Hanyu, H. Ohno
58th Annual Conference on Magnetism & Magnetic Materials Abstract 2013年11月
-
Fabrication of a Perpendicular-MTJ-Based Compact Nonvolatile Programmable Switch Using Shared-Write-Control-Transistor Structure 査読有り
D. Suzuki, M. Natsui, A. Mochizuki, S. Miura, H. Honjo, K. Kinoshita, H. Sato, S. Fukami, S. Ikeda, T. Endoh, H. Ohno, T. Hanyu
Abst. 58th Annual Conference on Magnetism and Magnetic Materials 233 2013年11月
-
Spintronics-based integrated circuits and contribution to energy saving society
Hideo Ohno, Takahiro Hanyu, Shoji Ikeda, Tetsuo Endoh, Yasuo Ando, Naoki Kasai
Journal of the Institute of Electronics, Information and Communication Engineers 96 (10) 771-775 2013年10月
ISSN:0913-5693
-
Probabilistic Search Schemes for High-Speed Low-Power Content-Addressable Memories 査読有り
N. Onizawa, S. Matsunaga, V. C. Gaudet, W. J. Gross, T. Hanyu
2013 International Conference on Analog VLSI Circuit 100-105 2013年10月
-
スピントロニクスを用いた集積回路と省エネ社会への貢献(<特別小特集>東北から明るい未来を創るICT技術) 査読有り
大野 英男, 遠藤 哲郎, 羽生 貴弘, 安藤 康夫, 笠井 直記, 池田 正二
電子情報通信学会誌 96 (10) 771-775 2013年10月1日
出版者・発行元: 一般社団法人電子情報通信学会ISSN:0913-5693
-
Demonstration of a Nonvolatile Processor Core Chip with Software-Controlled Three-Terminal MRAM Cells for Standby-Power Critical Applications 査読有り
R. Nebashi, Y. Tsuji, H. Honjo, N. Sakimura, A. Morioka, K. Tokutome, S. Miura, S. Fukami, M. Yamanouchi, K. Kinoshita, T. Hanyu, T. Endoh, N. Kasai, H. Ohno, T. Sugibayashi
2013 International Conference on Solid State Devices and Materials (SSDM) M-8-3 1102-1103 2013年9月24日
-
Strategy of STT-MRAM Cell Design and Its Power Gating Technique for Low-Voltage and Low-Power Cache Memories 査読有り
Takashi Ohsawa, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno, Tetuso Endoh
2013 International Conference on Solid State Devices and Materials (SSDM) M-7-1 1090-1091 2013年9月24日
-
Studies on Selective Devices for Spin-Transfer-Torque Magnetic Tunnel Junctions 査読有り
Takashi Ohsawa, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno, Tetuso Endoh
2013 International Conference on Solid State Devices and Materials (SSDM) M-8-4 1104-1105 2013年9月24日
-
A 4x4 Nonvolatile Multiplier Using Novel MTJ-CMOS Hybrid Latch and Flip-Flop 査読有り
Takashi Ohsawa, Sadahiro Miura, Hiroaki Honjo, Keizo Kinoshita, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno, Tetuso Endoh
2013 International Conference on Solid State Devices and Materials (SSDM) M-6-3 1086-1087 2013年9月24日
-
Wide Operational Margin Capability of 1kbit STT-MRAM Array Chip with 1-PMOS and 1-Bottom-Pin-MTJ Type Cell 査読有り
Hiroki Koike, Takashi Ohsawa, Sadahiro Miura, Hiroaki Honjo, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno, Tetuso Endoh
2013 International Conference on Solid State Devices and Materials (SSDM) M-7-3 1094-1095 2013年9月24日
-
Open-Fault Resilient Multiple-Valued Codes for Reliable Asynchronous Global Communication Links 査読有り
Naoya Onizawa, Atsushi Matsumoto, Takahiro Hanyu
IEICE TRANSACTIONS ON INFORMATION AND SYSTEMS E96D (9) 1952-1961 2013年9月
DOI: 10.1587/transinf.E96.D.1952
ISSN:0916-8532
eISSN:1745-1361
-
Design of a Three-Terminal MTJ-Based Nonvolatile Logic Element with a 2-ns 64-Bit-Parallel Reconfiguration Capability 査読有り
D. Suzuki, M. Natsui, A. Mochizuki, T. Hanyu
Ext. Abstr. 2013 Int. Conf. Solid-State Devices and Materials 386-387 2013年9月
-
IEEE Journal of Solid-State Circuits 査読有り
T. Ohsawa, H. Koike, S. Miura, H. Honjo, K. Kinoshita, S. Ikeda, T. Hanyu, H. Ohno, T. Endoh
A 1 Mb nonvolatile embedded memory using 4T2MTJ cell with 32 b fine-grained power gating scheme 48 (6) 1511-1520 2013年6月22日
-
A 1.5nsec/2.1nsec random read/write cycle 1Mb STT-RAM using 6T2MTJ cell with background write for nonvolatile e-memories 査読有り
Takashi Ohsawa, Sadahiro Miura, Keizo Kinoshita, Hiroaki Honjo, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno, Tetuso Endoh
2013 Symposium on VLSI Technology (VLSIT) & 2013 Symposium on VLSI Cricuit (VLSIC) Digest of Technical Papers C110-C111 2013年6月12日
-
A Model Reflecting Preheat Effect by Two-step Writing Technique for High Speed and Stable STT-MRAM 査読有り
Yasuhiro Yoshida, Hiroki Koike, Masakazu Muraguchi, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno, Tetuso Endoh
16th International Workshop on Computational Electronics (IWCE) 248-249 2013年6月4日
-
Fabrication of a 99%-Energy-Less Nonvolatile Multi-Functional CAM Chip Using Hierarchical Power Gating for a Massively-Parallel Full-Text-Search Engine 査読有り
S. Matsunaga, N. Sakimura, R. Nebashi, Y. Tsuji, A. Morioka, T. Sugibayashi, S. Miura, H. Honjo, K. Kinoshita, H. Sato, S. Fukami, M. Natsui, A. Mochizuki, S. Ikeda, T. Endoh, H. Ohno, T. Hanyu
2013 Symposium on VLSI Circuits Digest of Technical Papers 106-107 2013年6月
-
Challenge of Nonvolatile Logic-in-Memory Architecture Towards Cool LSI Chips 招待有り
T. Hanyu
2013 Spintronics Workshop on LSI 8 2013年6月
-
A 1Mb STT-MRAM with Zero Array Standby Power and 1.5ns Quick Wake-up by 8b Fine-Grained Power Gating 査読有り
Takashi Ohsawa, Hiroki Koike, Takahiro Hanyu, Hideo Ohno, Tetuso Endoh
5th IEEE International Memory Workshop (IMW) 80-83 2013年5月26日
-
制御情報共有化に基づく非同期細粒度パワーゲーティング技術とそのオンチップルータへの応用 査読有り
松本敦, 河野宇朗, 鬼沢直哉, 羽生貴弘
電子情報通信学会論文誌 96 (5) 73-84 2013年5月
出版者・発行元: 一般社団法人電子情報通信学会ISSN:1345-2827
-
制御情報共有化に基づく非同期細粒度パワーゲーティング技術とそのオンチップルータへの応用 査読有り
松本敦, 河野宇朗, 鬼沢直哉, 羽生貴弘
信学論JD J96-C (5) 73-84 2013年5月
出版者・発行元: 一般社団法人電子情報通信学会ISSN:1345-2827
-
スピン論理集積回路における基本ゲートの高信頼化技術 査読有り
辻幸秀, 根橋竜介, 崎村昇, 森岡あゆ香, 本庄弘明, 徳留圭一, 三浦貞彦, 鈴木哲広, 深見俊輔, 木下啓藏, 羽生貴弘, 遠藤哲郎, 笠井直記, 大野英男, 杉林
信学技報, 113 (1) 41-46 2013年4月1日
出版者・発行元: 一般社団法人電子情報通信学会ISSN:0913-5685
-
4T-2MTJセル構造に基づく不揮発TCAMチップの実現 査読有り
松永翔雲, 三浦貞彦, 本庄弘明, 木下啓蔵, 池田正二, 遠藤哲郎, 大野英男, 羽生貴弘
信学技報, 113 (1) 33-38 2013年4月1日
-
A 71%-Area-Reduced Six-Input Nonvolatile Lookup-Table Circuit Using a Three-Terminal Magnetic-Tunnel-Junction-Based Single-Ended Structure 査読有り
Daisuke Suzuki, Yuhui Lin, Masanori Natsui, Takahiro Hanyu
JAPANESE JOURNAL OF APPLIED PHYSICS 52 (4) 04CM04-1-04CM04-6 2013年4月
ISSN:0021-4922
eISSN:1347-4065
-
不揮発性STT-MRAMの開発と今後の展望 招待有り
遠藤哲郎, 大澤隆, 伊賀文崇, 池田正二, 羽生貴弘, 大野英男
応用物理学会・特別シンポジウム 2013年3月1日
-
Nonvolatile Look-up Table Circuit Using Three-Terminal MTJ-Based Logic-in-Memory Structure
D. Suzuki, T. Hanyu
The 3rd CSIS International Symposium on Spintronics-based VLSIs 32 2013年2月
-
Design of Low-Energy Nonvolatile TCAM Using Logic-in-Memory Architecture
S. Matsunaga, T. Hanyu
The 3rd CSIS International Symposium on Spintronics-based VLSIs 35 2013年2月
-
Two-step writing method for STT-MTJ to improve switching probability and write-speed 査読有り
Fumitaka Iga, Takashi Ohsawa, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno, Tetsuo Endoh
The 3nd CSIS International Symposium on Spintronics-based VLSIs 2013年1月31日
-
A fine-grained power gating architecture for MTJ-based embedded memories 査読有り
Takashi Ohsawa, Hiroki Koike, Sadahiko Miura, Hiroaki Honjo, Keiichi Tokutome, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno, Tetsuo Endoh
The 3nd CSIS International Symposium on Spintronics-based VLSIs 2013年1月31日
-
600MHz Nonvolatile Latch Based on a New MTJ/CMOS Hybrid Circuit Concept 査読有り
Tetsuo Endoh, Shuta Togashi, Fumitaka Iga, Yasuhiro Yoshida, Takashi Ohsawa, Hiroki Koike, Shunsuke Fukami, Shoji Ikeda, Naoki Kasai, Noboru Sakimura, Takahiro Hanyu, Hideo Ohno, Tetsuo Endoh
The 3nd CSIS International Symposium on Spintronics-based VLSIs 2013年1月31日
-
省エネシステムのためのSTT-MRAMと、そのロジック応用 招待有り
遠藤哲郎, 小池洋紀, 大澤隆, 羽生貴弘, 笠井直記, 大野英男
ゲートスタック研究会 2013年1月25日
-
MRAMの最新動向 招待有り
遠藤哲郎, 池田正二, 羽生貴弘, 笠井直記, 大野英男
電子ジャーナル, 2013年1月11日
-
MTJ/MOS-hybrid logic-circuit design flow for nonvolatile logic-in-memory LSI 査読有り
Masanori Natsui, Takahiro Hanyu, Noboru Sakimura, Tadahiko Sugibayashi
Proceedings - IEEE International Symposium on Circuits and Systems 105-108 2013年
DOI: 10.1109/ISCAS.2013.6571793
ISSN:0271-4310
-
Design of Process-Variation-Resilient Analog Basic Components Using Magnetic-Tunnel-Junction Devices 査読有り
Masanori Natsui, Takahiro Hanyu
JOURNAL OF MULTIPLE-VALUED LOGIC AND SOFT COMPUTING 21 (5-6) 597-608 2013年
ISSN:1542-3980
-
Nonvolatile Logic-in-Memory Array Processor in 90nm MTJ/MOS Achieving 75% Leakage Reduction Using Cycle-Based Power Gating 査読有り
Masanori Natsui, Daisuke Suzuki, Noboru Sakimura, Ryusuke Nebashi, Yukihide Tsuji, Ayuka Morioka, Tadahiko Sugibayashi, Sadahiko Miura, Hiroaki Honjo, Keizo Kinoshita, Shoji Ikeda, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
2013 IEEE INTERNATIONAL SOLID-STATE CIRCUITS CONFERENCE DIGEST OF TECHNICAL PAPERS (ISSCC) 56 194-+ 2013年
DOI: 10.1109/ISSCC.2013.6487696
ISSN:0193-6530
-
High-throughput CAM based on a synchronous overlapped search scheme 査読有り
Naoya Onizawa, Shoun Matsunaga, Vincent C. Gaudet, Warren J. Gross, Takahiro Hanyu
IEICE ELECTRONICS EXPRESS 10 (7) 20130148-1-20130148-9 2013年
ISSN:1349-2543
-
High-throughput CAM based on a synchronous overlapped search scheme 査読有り
Naoya Onizawa, Shoun Matsunaga, Vincent C. Gaudet, Warren J. Gross, Takahiro Hanyu
IEICE ELECTRONICS EXPRESS 10 (7) 20130148 2013年
ISSN:1349-2543
-
MTJ/MOS-Hybrid Logic-Circuit Design Flow for Nonvolatile Logic-in-Memory LSI 査読有り
Masanori Natsui, Takahiro Hanyu, Noboru Sakimura, Tadahiko Sugibayashi
2013 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS) 105-108 2013年
DOI: 10.1109/ISCAS.2013.6571793
ISSN:0271-4302
-
Challenge of MTJ/MOS-Hybrid Logic-in-Memory Architecture for Nonvolatile VLSI Processor 招待有り 査読有り
Takahiro Hanyu
2013 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS) 117-120 2013年
DOI: 10.1109/ISCAS.2013.6571796
ISSN:0271-4302
-
A Low-Energy Variation-Tolerant Asynchronous TCAM for Network Intrusion Detection Systems 査読有り
Naoya Onizawa, Warren J. Gross, Takahiro Hanyu
2013 IEEE 19TH INTERNATIONAL SYMPOSIUM ON ASYNCHRONOUS CIRCUITS AND SYSTEMS (ASYNC) 8-15 2013年
ISSN:1522-8681
-
Design and Evaluation of a Differential Switching Gate for Low-Voltage Applications 査読有り
Masanori Natsui, Kiyohiro Kashiuchi, Takahiro Hanyu
2013 IEEE 43RD INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC (ISMVL 2013) 146-151 2013年
ISSN:0195-623X
-
Lowering error floors in stochastic decoding of ldpc codes based on wire-delay dependent asynchronous updating 査読有り
Naoya Onizawa, Warren J. Gross, Takahiro Hanyu, Vincent C. Gaudet
Proceedings of The International Symposium on Multiple-Valued Logic 254-259 2013年
ISSN:0195-623X
-
Accurate and High-Speed Asynchronous Network-on-Chip Simulation Using Physical Wire-Delay Information 査読有り
Takahiro Hanyu, Yuma Watanabe, Atsushi Matsumoto
2013 IEEE 43RD INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC (ISMVL 2013) 266-271 2013年
ISSN:0195-623X
-
Design of a Compact Nonvolatile Lookup-Table Circuit Using Three-Terminal Magnetic-Tunnel-Junction-Based Single-Ended Structure 査読有り
D. Suzuki, Y. Lin, M. Natsui, T. Hanyu
Ext. Abstr. Solid-State Devices and Materials (SSDM) 392-393 2012年9月
-
MTJ based Non Volatile Logic for Ultimate Power Management 招待有り 査読有り
Tetsuo Endoh, Takashi Ohsawa, Takahiro Hanyu, Hideo Ohno
the 19th International Conference on Magnetism with Strongly Correlated Electron Systems (ICM2012 with SCES) Session BI02 5-7 2012年6月26日
-
Long-Range Asynchronous On-Chip Link Based on Multiple-Valued Single-Track Signaling 査読有り
Naoya Onizawa, Atsushi Matsumoto, Takahiro Hanyu
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES E95A (6) 1018-1029 2012年6月
DOI: 10.1587/transfun.E95.A.1018
ISSN:1745-1337
-
Challenge of Nonvolatile Logic-in-Memory Architecture Towards Cool LSI Chips 招待有り
Takahiro Hanyu
2012 Spintronics Workshop on LSI 8-8 2012年6月
-
MTJ based non volatile SRAM and low power non volatile logic-in-memory architecture 招待有り 査読有り
Tetsuo Endoh, Takashi Ohsawa, Shoji Ikeda, Takahiro Hanyu, Naoki Kasai, Hideo Ohno
IEEE International Magnetics Conference (INTERMAG2012) HB-06-HB-06 2012年5月9日
-
3端子磁壁移動型セルを用いた不揮発性コンテントアドレッサブルメモリ 査読有り
根橋竜介, 崎村昇, 辻幸秀, 深見俊輔, 本庄弘明, 齊藤信作, 三浦貞彦, 石綿延行, 木下啓蔵, 羽生貴弘, 遠藤哲郎, 笠井直記, 大野英男, 杉林直彦
信学技報 112 (15) 49-54 2012年4月1日
出版者・発行元: 一般社団法人電子情報通信学会ISSN:0913-5685
-
Design of a Compact Nonvolatile Four-Input Logic Element Using a Magnetic Tunnel Junction and Metal-Oxide-Semiconductor Hybrid Structure 査読有り
Daisuke Suzuki, Masanori Natsui, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
JAPANESE JOURNAL OF APPLIED PHYSICS 51 (4) 04DM02-1-04DM02-5 2012年4月
ISSN:0021-4922
eISSN:1347-4065
-
Six-input lookup table circuit with 62% fewer transistors using nonvolatile logic-in-memory architecture with series/parallel-connected magnetic tunnel junctions 査読有り
D. Suzuki, M. Natsui, T. Endoh, H. Ohno, T. Hanyu
JOURNAL OF APPLIED PHYSICS 111 (7) 07E318-1-07E318-3 2012年4月
DOI: 10.1063/1.3672411
ISSN:0021-8979
eISSN:1089-7550
-
Design of a 270ps-access 7-transistor/2-magnetic-tunnel-junction cell circuit for a high-speed-search nonvolatile ternary content-addressable memory 査読有り
Shoun Matsunaga, Akira Katsumata, Masanori Natsui, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
JOURNAL OF APPLIED PHYSICS 111 (7) 07E336-1-07E336-3 2012年4月
DOI: 10.1063/1.3677875
ISSN:0021-8979
eISSN:1089-7550
-
Nonvolatile Logic-in-Memory Architecture Using an MTJ/MOS-Hybrid Structure and Its Applications 招待有り
Takahiro Hanyu
IEEE Symposium on Low-Power and High-Speed Chips (Cool Chips XV) 11-1-11-21 2012年4月
-
Proposal of New MTJ-Based Nonvolatile Memories 招待有り 査読有り
T. Ohsawa, H. Koike, T. Hanyu, S. Ikeda, H. Ohno, T. Endoh
The 2nd CSIS International Symposium on Spintronics-based VLSIs F6 23-23 2012年2月2日
-
A Content Adddressable Memory Using Three-Terminal Magnetic Domain Wall Motion Cells 招待有り 査読有り
R. Nebashi, N. Sakimura, Y Tsuji, S. Fukami, H. Honjo, S. Saito, S.Miura, N.Ishiwata, K. kinoshita, T. Hanyu, T. Endoh, N. Kasai, H. Ohno, T. Sugibayashi
The 2nd CSIS International Symposium on Spintronics-based VLSIs F7 24-24 2012年2月2日
-
High-Density Ternary Content-Addressable Memory Using MTJ-Based Nonvolatile Logic-in-Memory Architecture
Takahiro Hanyu
The 2nd CSIS International Symposium on Spintronics-based VLSIs and the 8th RIEC International Workshop on Spintronics 25-25 2012年2月
-
Design of a Nine-Transistor/Two-Magnetic-Tunnel-Junction-Cell-Based Low-Energy Nonvolatile Ternary Content-Addressable Memory 査読有り
Shoun Matsunaga, Akira Katsumata, Masanori Natsui, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
JAPANESE JOURNAL OF APPLIED PHYSICS 51 (2) 02BM06-1-02BM06-5 2012年2月
ISSN:0021-4922
-
High-Density and Low-Power Nonvolatile Static Random Access Memory Using Spin-Transfer-Torque Magnetic Tunnel Junction 査読有り
Takashi Ohsawa, Fumitaka Iga, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno, Tetsuo Endoh
JAPANESE JOURNAL OF APPLIED PHYSICS 51 (2) 02BD01-1-02BD01-6 2012年2月
ISSN:0021-4922
-
Time-Resolved Switching Characteristic in Magnetic Tunnel Junction with Spin Transfer Torque Write Scheme 査読有り
Fumitaka Iga, Yasuhiro Yoshida, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno, Tetsuo Endoh
JAPANESE JOURNAL OF APPLIED PHYSICS 51 (2) 02BM02-1-02BM02-5 2012年2月
ISSN:0021-4922
-
遅延情報データベースに基づく高速・高精度非同期NoC設計・検証CADに関する一考察
渡邉 友馬, 松本 敦, 羽生 貴弘
電気関係学会東北支部連合大会講演論文集 2012 224-224 2012年
出版者・発行元: 電気関係学会東北支部連合大会実行委員会 -
低スイッチング電力基本論理ゲートの構成に関する一考察
樫内 清弘, 夏井 雅典, 羽生 貴弘
電気関係学会東北支部連合大会講演論文集 2012 225-225 2012年
出版者・発行元: 電気関係学会東北支部連合大会実行委員会 -
Design of an MTJ-Based Variation-Resilient Basic Gate of Differential Logic
金 榮槿, 夏井 雅典, 羽生 貴弘
電気関係学会東北支部連合大会講演論文集 2012 7-7 2012年
出版者・発行元: 電気関係学会東北支部連合大会実行委員会 -
Clockless stochasic decoding of low-density parity-check codes 査読有り
N. Onizawa, W. J. Gross, T. Hanyu, V. C. Gaudet
IEEE Workshop on Signal Processing Systems, SiPS: Design and Implementation 143-148 2012年
DOI: 10.1109/SiPS.2012.53
ISSN:1520-6130
-
High-speed simulator including accurate MTJ models for spintronics integrated circuit design 査読有り
Sakimura, N.a, Nebashi, R, Tsuji, Y, Honjo, H.a, Sugibayashi, T, Koike, H, Ohsawa, T, Fukami, S, Hanyu, T, Ohno, H, Endoh, T
ISCAS - IEEE Int. Symp. Circuits Syst. 6271663-1974 2012年
DOI: 10.1109/ISCAS.2012.6271663
ISSN:0271-4302
-
Low-Energy Pipelined Multiple-Valued Current-Mode Circuit Based on Current-Level Control Technique 査読有り
Masanori Natsui, Takashi Arimitsu, Takahiro Hanyu
JOURNAL OF MULTIPLE-VALUED LOGIC AND SOFT COMPUTING 19 (1-3) 219-231 2012年
ISSN:1542-3980
-
Implementation of a Perpendicular MTJ-Based Read-Disturb-Tolerant 2T-2R Nonvolatile TCAM Based on a Reversed Current Reading Scheme 査読有り
S. Matsunaga, M. Natsui, S. Ikeda, K. Miura, T. Endoh, H. Ohno, T. Hanyu
2012 17TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC) 475-476 2012年
DOI: 10.1109/ASPDAC.2012.6164998
ISSN:2153-6961
-
High-Throughput Low-Energy Content-Addressable Memory Based on Self-Timed Overlapped Search Mechanism 査読有り
Naoya Onizawa, Shoun Matsunaga, Vincent C. Gaudet, Takahiro Hanyu
2012 18TH IEEE INTERNATIONAL SYMPOSIUM ON ASYNCHRONOUS CIRCUITS AND SYSTEMS (ASYNC) 41-48 2012年
ISSN:1522-8681
-
Systematic Coding Schemes for Low-Power Multiple-Valued Current-Mode Asynchronous Communication Links 査読有り
Atsushi Matsumoto, Naoya Onizawa, Takahiro Hanyu
2012 42ND IEEE INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC (ISMVL) 13-18 2012年
ISSN:0195-623X
-
Asynchronous Stochastic Decoding of Low-Density Parity-Check Codes 査読有り
Naoya Onizawa, Vincent C. Gaudet, Takahiro Hanyu, Warren J. Gross
2012 42ND IEEE INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC (ISMVL) 92-97 2012年
ISSN:0195-623X
-
Quaternary 1T-2MTJ Cell Circuit for a High-Density and a High-Throughput Nonvolatile Bit-Serial CAM 査読有り
Shoun Matsunaga, Takahiro Hanyu
2012 42ND IEEE INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC (ISMVL) 98-103 2012年
ISSN:0195-623X
-
Process-Variation-Resilient OTA Using MTJ-Based Multi-Level Resistance Control 査読有り
Masanori Natsui, Takaaki Nagashima, Takahiro Hanyu
2012 42ND IEEE INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC (ISMVL) 214-219 2012年
ISSN:0195-623X
-
Variation-Resilient Current-Mode Logic Circuit Design Using MTJ Devices 査読有り
Youngkeun Kim, Masanori Natsui, Takahiro Hanyu
2012 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS 2012) 2705-2708 2012年
DOI: 10.1109/ISCAS.2012.6271866
ISSN:0271-4302
-
A 3.14 um 2 4T-2MTJ-cell fully parallel TCAM based on nonvolatile logic-in-memory architecture 査読有り
Shoun Matsunaga, Sadahiko Miura, Hiroaki Honjou, Keizo Kinoshita, Shoji Ikeda, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
IEEE Symposium on VLSI Circuits, Digest of Technical Papers J-C6.2 44-45 2012年
DOI: 10.1109/VLSIC.2012.6243781
-
1Mb 4T-2MTJ nonvolatile STT-RAM for embedded memories using 32b fine-grained power gating technique with 1.0ns/200ps wake-up/power-off times 査読有り
T. Ohsawa, H. Koike, S. Miura, H. Honjo, K. Tokutome, S. Ikeda, T. Hanyu, H. Ohno, T. Endoh
IEEE Symposium on VLSI Circuits, Digest of Technical Papers J-C6.3 46-47 2012年
DOI: 10.1109/VLSIC.2012.6243782
-
Spintronics primitive gate with high error correction efficiency 6(P error) 2 for logic-in memory architecture 査読有り
Y. Tsuji, R. Nebashi, N. Sakimura, A. Morioka, H. Honjo, K. Tokutome, S. Miura, T. Suzuki, S. Fukami, K. Kinoshita, T. Hanyu, T. Endoh, N. Kasai, H. Ohno, T. Sugibayashi
Digest of Technical Papers - Symposium on VLSI Technology T7.4 63-64 2012年
DOI: 10.1109/VLSIT.2012.6242462
ISSN:0743-1562
-
Restructuring of memory hierarchy in computing system with spintronics-based technologies 招待有り
Tetsuo Endoh, Takashi Ohsawa, Hiroki Koike, Takahiro Hanyu, Hideo Ohno
Digest of Technical Papers - Symposium on VLSI Technology T10.3 89-90 2012年
DOI: 10.1109/VLSIT.2012.6242475
ISSN:0743-1562
-
Scalable Serial-Configuration Scheme for MTJ/MOS-Hybrid Variation-Resilient VLSI System 査読有り
Masanori Natsui, Takahiro Hanyu
2012 IEEE 10TH INTERNATIONAL NEW CIRCUITS AND SYSTEMS CONFERENCE (NEWCAS) 97-100 2012年
DOI: 10.1109/NEWCAS.2012.6328965
ISSN:2472-467X
-
Fine-Grained Power-Gating Scheme of a Nonvolatile Logic-in-Memory Circuit for Low-Power Motion-Vector Extraction 査読有り
Magdalena Sihotang, Shoun Matsunaga, Takahiro Hanyu
2012 IEEE 10TH INTERNATIONAL NEW CIRCUITS AND SYSTEMS CONFERENCE (NEWCAS) 485-488 2012年
DOI: 10.1109/NEWCAS.2012.6329062
ISSN:2472-467X
-
Area-Efficient LUT Circuit Design Based on Asymmetry of MTJ's Current Switching for a Nonvolatile FPGA 査読有り
Daisuke Suzuki, Masanori Natsui, Takahiro Hanyu
2012 IEEE 55TH INTERNATIONAL MIDWEST SYMPOSIUM ON CIRCUITS AND SYSTEMS (MWSCAS) 334-337 2012年
DOI: 10.1109/MWSCAS.2012.6292025
ISSN:1548-3746
-
Building Blocks to Use in Innovative Non-Volatile FPGA Architecture Based on MTJs. 査読有り
Luca Montesi, Zeljko Zilic, Takahiro Hanyu, Daisuke Suzuki
2012 IEEE COMPUTER SOCIETY ANNUAL SYMPOSIUM ON VLSI (ISVLSI) 302-307 2012年
-
CLOCKLESS STOCHASIC DECODING OF LOW-DENSITY PARITY-CHECK CODES 査読有り
N. Onizawa, W. J. Gross, T. Hanyu, V. C. Gaudet
2012 IEEE WORKSHOP ON SIGNAL PROCESSING SYSTEMS (SIPS) 143-148 2012年
DOI: 10.1109/SiPS.2012.53
ISSN:2162-3562
-
Multi-chip NoCs for automotive applications 査読有り
Tomohiro Yoneda, Masashi Imai, Naoya Onizawa, Atsushi Matsumoto, Takahiro Hanyu
Proceedings of IEEE Pacific Rim International Symposium on Dependable Computing, PRDC 105-110 2012年
DOI: 10.1109/PRDC.2012.20
ISSN:1541-0110
-
Design of a 270ps-Access 7T-2MTJ-Cell Nonvolatile Ternary Content-Addressable Memory 査読有り
Shoun Matsunaga, Akira Katsumata, Masanori Natsui, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
56th Annual Conference on Magnetism and Magnetic Materials(MMM2011) 479-479 2011年11月
-
50%-Transistor-Less Standby-Power-Free 6-input LUT Circuit Using Redundant MTJ-Based Nonvolatile Logic-in-Memory Architecture 査読有り
Daisuke Suzuki, Masanori Natsui, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
56th Annual Conference on Magnetism and Magnetic Materials(MMM2011) 480-480 2011年11月
-
MTJ-Based Optimal Vth-Tuning Technique for a Process-Variation-Aware VLSI processor 査読有り
Masanori Natsui, Kim Yong Kun, Takahiro Hanyu
56th Annual Conference on Magnetism and Magnetic Materials(MMM2011) 480-481 2011年11月
-
MTJ-based Nonvolatile Logic-in-Memory Architecture and Its Application 招待有り
Takahiro Hanyu
The 11th Non-Volatile Memory Technology Symposium (NVMTS 2011) 81-82 2011年11月
-
Evaluation of Vth-Variation Effect on Multiple-Valued Current-Mode Circuits
Kiyohiro Kashiuchi, Masanori Natsui, Takahiro Hanyu
Japan-China-Korea Conference on Electronics & Communications 2011 (GWEI''11) 157-157 2011年10月
-
Delay-Aware Model-Based Accurate Simulator for Asynchronous NoC Design
Yuma Watanabe, Atsushi Matsumoto, Takahiro Hanyu
Japan-China-Korea Conference on Electronics & Communications 2011 (GWEI''11) 181-181 2011年10月
-
Studies on Static Noise Margin and Scalability for Low-Power and High-Density Nonvolatile SRAM using Spin -Transfer -Torque (STT) MTJs 査読有り
Takashi Ohsawa, Fumitaka Iga, Shoji Ikeda, Takahiro, Hanyu, Hideo Ohno, Testuo Endoh
2011 International Conference on Solid State Devices and Materials (SSDM2011) 959-960 2011年9月28日
-
Novel 2step Writing Method for STT-RAM to Improve Switching Probability and Write Speed 査読有り
Fumitaka. Iga, Yasuhiko Suzuki, Takashi Ohsawa, Shoji Ikeda, Takahiro Hanyu, Hideo Ohno, Tetsuo Endoh
2011 International Conference on Solid State Devices and Materials (SSDM2011) 963-964 2011年9月28日
-
Scalable STT RAM Technology for Low Power Systems 招待有り 査読有り
T. Endoh, S. Ikeda, T Hanyu, N. Kasai, H. Ohno
Samsung Semiconductor Future Technology Forum 2011 2011年9月23日
-
Sub-20nm STT-MRAM as a replacement for DRAM:Its Challenges and Opportunities 招待有り 査読有り
T. Endoh, S. Ikeda, T Hanyu, N. Kasai, H. Ohno
Samsung Semiconductor Future Technology Forum 2011 2011年9月23日
-
A Compact Nonvolatile Logic Element Using an MTJ/MOS-Hybrid Structure 査読有り
Daisuke Suzuki, Masanori Natsui, Hideo Ohno, Takahiro Hanyu
2011 International Conference on Solid State Devices and Materials (SSDM) 1464-1465 2011年9月
-
High-Speed-Search Nonvolatile TCAM Using MTJ Devices 査読有り
Shoun Matsunaga, Akira Katsumata, Masanori Natsui, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
2011 International Conference on Solid State Devices and Materials (SSDM) 454-455 2011年9月
-
Low-Energy Asynchronous Interleaver for Clockless Fully Parallel LDPC Decoding 査読有り
Naoya Onizawa, Vincent C. Gaudet, Takahiro Hanyu
IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS 58 (8) 1933-1943 2011年8月
DOI: 10.1109/TCSI.2011.2107271
ISSN:1549-8328
-
Design of an 8-nsec 72-bit-Parallel-Search Content-Addressable Memory Using a Phase-Change Device 査読有り
Satoru Hanzawa, Takahiro Hanyu
IEICE TRANSACTIONS ON ELECTRONICS E94C (8) 1302-1310 2011年8月
DOI: 10.1587/transele.E94.C.1302
ISSN:1745-1353
-
Time-Dependent Switching Characteristics of Magnetic Tunnel Junction (MTJ) 査読有り
Y. Yoshida, F. Iga, S. Ikeda, T. Hanyu, H. Ohno, T. Endoh
2011 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2011) 2B.7 167-170 2011年6月29日
-
Study of the Resistive Switching in CoFeB/MgO/CoFeB Magnetic Tunnel Junction Integrated on Back-End Metal Line of CMOS Circuit 査読有り
F. Iga, S. Ikeda, T. Hanyu, H. Ohno, T. Endoh
2011 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2011) 2B.8 171-174 2011年6月29日
-
Design and Fabrication of a One-Transistor/One-Resistor Nonvolatile Binary Content-Addressable Memory Using Perpendicular Magnetic Tunnel Junction Devices with a Fine-Grained Power-Gating Scheme 査読有り
Shoun Matsunaga, Masanori Natsui, Shoji Ikeda, Katsuya Miura, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
JAPANESE JOURNAL OF APPLIED PHYSICS 50 (6) 063004-1-063004-7 2011年6月
ISSN:0021-4922
-
Fully Parallel 6T-2MTJ Nonvolatile TCAM with Single-Transistor-Based Self Match-Line Discharge Control 査読有り
Shoun Matsunaga, Akira Katsumata, Masanori Natsui, Shunsuke Fukami, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
2011 Symposium on VLSI Circuits, Digest of Technical Papers 298-299 2011年6月
-
A Content Addressable Memory Using Magnetic Domain Wall Motion Cells 査読有り
R. Nebashi, N. Sakimura, Y. Tsuji, S. Fukami, H. Honjo, S. Saito, S. Miura, N. Ishiwata, K. Kinoshita, T. Hanyu, T. Endoh, N. Kasai, H. Ohno, T. Sugibayashi
2011 Symposium on VLSI Circuits, Digest of Technical Papers 300-301 2011年6月
-
不揮発性ロジックインメモリアーキテクチャが拓く新概念VLSI設計パラダイム 招待有り
夏井雅典, 羽生貴弘
LSIとシステムのワークショップ2011 65-70 2011年5月
-
不揮発性ロジックインメモリアーキテクチャが拓く新コンピューティングパラダイムの展望 招待有り
夏井雅典, 羽生貴弘
第58回 応用物理学関係連合講演会 58th 78-78 2011年3月
-
Nonvolatile Computer Systems and Memory Hierarchy Transformation with STT RAM Technology 招待有り 査読有り
Tetsuo Endoh, S. Ikeda, T. Hanyu, N. Kasai, H. Ohno
The 1st CSIS International Symposium on Spintronics-based VLSIs and The 7th RIEC International Workshop on Spintronisc 17 2011年2月3日
-
不揮発性可変抵抗素子を用いたLSIパラメータばらつき最小化アルゴリズムの検討
キム ヨンクン, 夏井 雅典, 羽生 貴弘
電気関係学会東北支部連合大会講演論文集 2011 269-269 2011年
出版者・発行元: 電気関係学会東北支部連合大会実行委員会 -
MTJ素子を用いた待機電力フリー不揮発ロジック基本ゲートの構成
マグダレナ シホタン, 松永 翔雲, 羽生 貴弘
電気関係学会東北支部連合大会講演論文集 2011 266-266 2011年
出版者・発行元: 電気関係学会東北支部連合大会実行委員会 -
磁壁移動素子を用いた不揮発性論理回路の構成
鈴木 大輔, 林 玉輝, 羽生 貴弘
電気関係学会東北支部連合大会講演論文集 2011 267-267 2011年
出版者・発行元: 電気関係学会東北支部連合大会実行委員会 -
可変抵抗素子を用いたポストプロセスばらつき補償機能付きOTAの検討
長嶋 孝晃, 夏井 雅典, 桝井 昇一, 羽生 貴弘
電気関係学会東北支部連合大会講演論文集 2011 268-268 2011年
出版者・発行元: 電気関係学会東北支部連合大会実行委員会 -
Accurate asynchronous network-on-chip simulation based on a delay-aware model 査読有り
Naoya Onizawa, Tomoyoshi Funazaki, Atsushi Matsumoto, Takahiro Hanyu
Lecture Notes in Electrical Engineering 105 17-30 2011年
DOI: 10.1007/978-94-007-1488-5_2
ISSN:1876-1100 1876-1119
-
Adjacent-state monitoring based fine-grained power-gating scheme for a low-power asynchronous pipelined system 査読有り
Takao Kawano, Naoya Onizawa, Atsushi Matsumoto, Takahiro Hanyu
Proceedings - IEEE International Symposium on Circuits and Systems 2067-2070 2011年
DOI: 10.1109/ISCAS.2011.5938004
ISSN:0271-4310
-
Three-terminal domain-wall cell architectures 査読有り
N. Ishiwata, S. Fukami, S. Saitho, R. Nebashi, N. Sakimura, H. Honjo, S. Miura, T. Sugibayashi, Y. Thuji, M. Murahata, H. Ohno, T. Endoh, T. Hanyu, N. Kasai
International Magnetics Conference 2011 abstract 2011年
-
Interconnect-Fault-Resilient Delay-Insensitive Asynchronous Communication Link Based on Current-Flow Monitoring 査読有り
Naoya Onizawa, Atsushi Matsumoto, Takahiro Hanyu
2011 DESIGN, AUTOMATION & TEST IN EUROPE (DATE) 776-781 2011年
ISSN:1530-1591
-
Adjacent-State Monitoring Based Fine-Grained Power-Gating Scheme for a Low-Power Asynchronous Pipelined System 査読有り
Takao Kawano, Naoya Onizawa, Atsushi Matsumoto, Takahiro Hanyu
2011 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS) 2067-2070 2011年
DOI: 10.1109/ISCAS.2011.5938004
ISSN:0271-4302
-
Design of a Low-Energy Nonvolatile Fully-Parallel Ternary CAM Using a Two-Level Segmented Match-Line Scheme 査読有り
Shoun Matsunaga, Akira Katsumata, Masanori Natsui, Takahiro Hanyu
2011 41ST IEEE INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC (ISMVL) 99-104 2011年
ISSN:0195-623X
-
Complementary Multiple-Valued Encoding Scheme for Interconnect-Fault-Resilient Bidirectional Asynchronous Links 査読有り
Atsushi Matsumoto, Naoya Onizawa, Takahiro Hanyu
2011 41ST IEEE INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC (ISMVL) 236-241 2011年
ISSN:0195-623X
-
A 600MHz MTJ-Based Nonvolatile Latch Making Use of Incubation Time in MTJ Switching 査読有り
T. Endoh, S. Togashi, F. Iga, Y. Yoshida, T. Ohsawa, H. Koike, S. Fukami, S. Ikeda, N. Kasai, N. Sakimura, T. Hanyu, H. Ohno
2011 IEEE INTERNATIONAL ELECTRON DEVICES MEETING (IEDM) 4.3.1-4.3.2 2011年
DOI: 10.1109/IEDM.2011.6131487
-
Magnetic Tunnel Junction for Nonvolatile CMOS Logic 招待有り 査読有り
Hideo Ohno, Tetsuo Endoh, Takahiro Hanyu, Naoki Kasai, Shoji Ikeda
2010 International Electron Devices Meeting 9.4.1-9.4.4 2010年12月6日
DOI: 10.1109/IEDM.2010.5703329
-
Design of a Process-Variation-Aware Nonvolatile MTJ-Based Lookup-Table Circuit 査読有り
Daisuke Suzuki, Masanori Natsui, Hideo Ohno, Takahiro Hanyu
2010 International Conference on Solid-State Devices and Materials, Workshop 1146-1147 2010年9月
-
Power-Aware Bit-Serial Binary Content-Addressable Memory Using Magnetic-Tunnel-Junction-Based Fine-Grained Power-Gating Scheme 査読有り
Shoun Matsunaga, Masanori Natsui, Hideo Ohno, Takahiro Hanyu
2010 International Conference on Solid-State Devices and Materials, Workshop 565-566 2010年9月
-
Energy-Aware Multiple-Valued Current-Mode Sequential Circuits Using a Completion-Detection Scheme 査読有り
Hirokatsu Shirahama, Takashi Matsuura, Masanori Natsui, Takahiro Hanyu
IEICE TRANSACTIONS ON INFORMATION AND SYSTEMS E93D (8) 2080-2088 2010年8月
DOI: 10.1587/transinf.E93.D.2080
ISSN:0916-8532
-
Highly Reliable Multiple-Valued One-Phase Signalling for an Asynchronous On-Chip Communication Link 査読有り
Naoya Onizawa, Takahiro Hanyu
IEICE TRANSACTIONS ON INFORMATION AND SYSTEMS E93D (8) 2089-2099 2010年8月
DOI: 10.1587/transinf.E93.D.2089
ISSN:1745-1361
-
Logic-in-Memory Architecture Using Si-MOSFETs and Magnetic Tunnel Junctions 招待有り
Takahiro Hanyu
6th International Conference on Physics and Applications of Spin Related Phenomena in Semiconductors (PASPS-VI) 176-176 2010年8月
-
Transient characteristic of fabricated Magnetic Tunnel Junction (MTJ) programmed with CMOS circuit 査読有り
M. Kamiyanagi, F. Iga, S. Ikeda, K. Miura, J. Hayakawa, H. Hasegawa, T. Hanyu, H. Ohno, T. Endoh
IEICE Transacions on Electronics E93-C (5) 602-607 2010年5月
出版者・発行元: The Institute of Electronics, Information and Communication EngineersDOI: 10.1587/transele.E93.C.602
ISSN:0916-8524
-
Study of the DC Performance of Fabricated Magnetic Tunnel Junction Integrated on Back-end Metal Line of CMOS Circuits 査読有り
F. Iga, M. Kamiyanagi, S. Ikeda, K. Miura, J. Hayakawa, H. Hasegawa, T. Hanyu, H. Ohno, T. Endoh
IEICE Transacions on Electronics E93-C (5) 608-613 2010年5月
出版者・発行元: The Institute of Electronics, Information and Communication EngineersDOI: 10.1587/transele.E93.C.608
ISSN:0916-8524
-
Process-Variation-Aware VLSI Design Using an Emerging Functional Devices and Its Impact
M. Natsui, T. Hanyu
Booklet of the 19th International Workshop on Post-Binary ULSI Systems 20-25 2010年5月
-
The performance of magnetic tunnel junction integrated on the back-end metal line of complimentary metal-oxide-semiconductor circuits 査読有り
T. Endoh, F. Iga, S. Ikeda, K. Miura, J. Hayakawa, M. Kamiyanagi, H. Hasegawa, T. Hanyu, H. Ohno
Japanese Journal of Applied Physics 49 (4) 04DM06-(1)-04DM06-(5) 2010年4月20日
出版者・発行元: Published by the Japan Society of Applied Physics through the Institute of Pure and Applied PhysicsISSN:0021-4922
-
MOS/MTJ-Hybrid Circuit with Nonvolatile Logic-in-Memory Architecture and Its Impact 招待有り
T. Hanyu
28th IEEE VLSI Test Symposium 258-258 2010年4月
-
Design of High-Throughput Fully Parallel LDPC Decoders Based on Wire Partitioning 査読有り
Naoya Onizawa, Takahiro Hanyu, Vincent C. Gaudet
IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 18 (3) 482-489 2010年3月
DOI: 10.1109/TVLSI.2008.2011360
ISSN:1063-8210
-
TMRデバイスを用いたしきい値変動補償を有する電流モード多値回路の構成 査読有り
廣崎旭宏, 松本敦, 羽生貴弘
電子情報通信学会論文誌D J93-D (1) 10-19 2010年1月
出版者・発行元: 一般社団法人電子情報通信学会ISSN:1880-4535
-
MOS/MTJ-Hybrid Circuit with Nonvolatile Logic-in-Memory Architecture and Its Applications 招待有り
T. Hanyu
11th Joint MMM-Intermag Conf. FZ-02 1533-1533 2010年1月
-
Fine-Grained Power-Gating Scheme of a Metal-Oxide-Semiconductor and Magnetic-Tunnel-Junction-Hybrid Bit-Serial Ternary Content-Addressable Memory 査読有り
Shoun Matsunaga, Masanori Natsui, Kimiyuki Hiyama, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
JAPANESE JOURNAL OF APPLIED PHYSICS 49 (4) 04DM05-1-04DM05-5 2010年
ISSN:0021-4922
-
Low-Energy Pipelined Multiple-Valued Current-Mode Circuit with 8-Level Static Current-Source Control 査読有り
Masanori Natsui, Takashi Arimitsu, Takahiro Hanyu
40TH IEEE INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC ISMVL 2010 235-240 2010年
ISSN:0195-623X
-
One-Color Two-Phase Asynchronous Communication Links Based on Multiple-Valued Simultaneous Control 査読有り
Atsushi Matsumoto, Naoya Onizawa, Takahiro Hanyu
40TH IEEE INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC ISMVL 2010 211-216 2010年
ISSN:0195-623X
-
High-Throughput Protocol Converter Based on an Independent Encoding/Decoding Scheme for Asynchronous Network-on-Chip 査読有り
Naoya Onizawa, Takahiro Hanyu
2010 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS 157-160 2010年
DOI: 10.1109/ISCAS.2010.5538027
ISSN:0271-4302
-
Accurate Asynchronous Network-on-Chip Simulation Based on a Delay-Aware Model 査読有り
Naoya Onizawa, Tomoyoshi Funazaki, Atsushi Matsumoto, Takahiro Hanyu
IEEE ANNUAL SYMPOSIUM ON VLSI (ISVLSI 2010) 357-362 2010年
ISSN:2159-3469
eISSN:2159-3477
-
Ultra-Low Power IC Technology Integrated with Innovative Materials 招待有り
T. Hanyu
Proc. of International Conference on Solid State Devices and Materials 1-9 2009年10月
-
MOS/MTJ-Hybrid Circuit with Nonvolatile Logic-in-Memory Architecture 招待有り
Masanori Natsui, Takahiro Hanyu
Proc. of International Conference on Solid State Devices and Materials 1398-1399 2009年10月
-
Fine-Grain Power-Gating Scheme of a CMOS/MTJ-Hybrid Bit-Serial Ternary Content-Addressable Memory 査読有り
Shown Matsunaga, Atsushi Matsumoto, Masanori Natusi, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
Proc. of International Conference on Solid State Devices and Materials 1382-1383 2009年10月
-
A MOS/MTJ-Hybrid Circuit with Nonvolatile Logic-in-Memory Architecture 招待有り
T. Hanyu
Proc. of Advances in Magnetic Nanostructures 21-21 2009年10月
-
TMR ロジックに基づくルックアップテーブル回路とその瞬時復帰可能FPGA への応用 招待有り 査読有り
鈴木大輔, 夏井雅典, 羽生貴弘
電子情報通信学会論文誌C J92-C (7) 233-240 2009年7月
出版者・発行元: 一般社団法人電子情報通信学会ISSN:1345-2827
-
High-Throughput Bit-Serial LDPC Decoder LSI Based on Multiple-Valued Asynchronous Interleaving 招待有り 査読有り
Naoya Onizawa, Takahiro Hanyu, Vincent C. Gaudet
IEICE TRANSACTIONS ON ELECTRONICS E92C (6) 867-874 2009年6月
DOI: 10.1587/transele.E92.C.867
ISSN:1745-1353
-
双方向シングルトラック非同期転送方式に基づく高速・低電力LDPCデコーダLSIの構成 招待有り
鬼沢直哉, 羽生貴弘, Vincent Gaudet
LSIとシステムのワークショップ2009講演論文集 354-356 2009年5月
-
MTJ・CMOSハイブリッド回路に基づく低電力・高信頼LSI技術 招待有り
夏井雅典, 羽生貴弘
LSIとシステムのワークショップ2009講演論文集 351-353 2009年5月
-
Standby-Power-Free Compact Ternary Content-Addressable Memory Cell Chip Using Magnetic Tunnel Junction Devices 招待有り 査読有り
Shoun Matsunaga, Kimiyuki Hiyama, Atsushi Matsumoto, Shoji Ikeda, Haruhiro Hasegawa, Katsuya Miura, Jun Hayakawa, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
APPLIED PHYSICS EXPRESS 2 (2) 023004-1-023004-3 2009年2月
ISSN:1882-0778
-
MTJ-Based Nonvolatile Logic-in-Memory Circuit, Future Prospects and Issues 査読有り
Shoun Matsunaga, Jun Hayakawa, Shoji Ikeda, Katsuya Miura, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
DATE: 2009 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION, VOLS 1-3 433-+ 2009年
ISSN:1530-1591
-
高信頼電流モード多値集積回路技術とその応用 招待有り
白濱弘勝, 永井 亮, 羽生貴弘
電子情報通信学会「多値論理とその応用」第二種研究会技術報告 MVL-09 1-6 2009年1月
-
多値データ転送に基づく高性能NoCの構成 招待有り
松本 敦, 羽生貴弘
電子情報通信学会「多値論理とその応用」第二種研究会技術報告 MVL-09 24-27 2009年1月
-
Robust Multiple-Valued Current-Mode Circuit Components Based on Adaptive Reference-Voltage Control 招待有り 査読有り
Naoya Onizawa, Takahiro Hanyu
ISMVL: 2009 39TH IEEE INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC 36-41 2009年
-
Timing-Variation-Aware Multiple-Valued Current-Mode Circuit for a Low-Power Pipelined System 招待有り 査読有り
Takashi Matsuura, Hirokatsu Shirahama, Masanori Natsui, Takahiro Hanyu
ISMVL: 2009 39TH IEEE INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC 60-65 2009年
-
High-Performance Asynchronous Intra-Chip Communication Link Based on a Multiple-Valued Current-Mode Single-Track Scheme 招待有り 査読有り
Yo Ohtake, Naoya Onizawa, Takahiro Hanyu
ISCAS: 2009 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-5 1000-1003 2009年
DOI: 10.1109/ISCAS.2009.5117927
-
Fabrication of a Nonvolatile Lookup-Table Circuit Chip Using Magneto/Semiconductor-Hybrid Structure for an Immediate-Power-Up Field Programmable Gate Array 招待有り 査読有り
Daisuke Suzuki, Masanori Natsui, Shoji Ikeda, Haruhiro Hasegawa, Katsuya Miura, Jun Hayakawa, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
2009 SYMPOSIUM ON VLSI CIRCUITS, DIGEST OF TECHNICAL PAPERS 80-+ 2009年
-
TMR Logic: Nonvolatile Logic Circuit Based on Logic-in-Memory Architecture Using Magnetic Tunnel Junctions
Takahiro Hanyu
PRiME2008 Meeting Abstracts 2105 2008年10月
-
MTJ-Based Nonvolatile Logic-in-Memory Circuit 招待有り
Takahiro Hanyu, Shoun Matsunaga
CNSI-RIEC Workshop on Nanoelectronics, Spintronics and Phototronics 10 2008年10月
-
MTJ-Based Vth Calibration Circuit Toward Design-for-Variability Era 招待有り
Atsushi Matsumoto, Akihiro Hirosaki, Takahiro Hanyu
CNSI-RIEC Workshop on Nanoelectronics, Spintronics and Phototronics 35 2008年10月
-
Nonvolatile Lookup Table Circuit for a Standby-Power-Free Field-Programmable Gate Array 招待有り
Daisuke Suzuki, Takahiro Hanyu
Proceedings of the 1st Student Organizing International Mini-Conference on Information Electronics Systems 1 (S4L-2) 181-182 2008年10月
-
Impact of a TMR-Based Nonvolatile Logic-in-Memory Circuit 招待有り
Shoun Matsunaga, Takahiro Hanyu
Proceedings of the 1st Student Organizing International Mini-Conference on Information Electronics Systems 1 (S3L-1) 169-170 2008年10月
-
Asynchronous Data-Transfer Interface for an Interleaver in Fully-Parallel Low-Density Parity-Check Decoders 招待有り
Naoya Onizawa, Takahiro Hanyu
Proceedings of the 1st Student Organizing International Mini-Conference on Information Electronics Systems 1 (S2K-4) 131-132 2008年10月
-
Design of a Processing Element Based on Multiple-Valued Current-Mode Logic for a Many-Core Processor 招待有り
Hirokatsu Shirahama, Takahiro Hanyu
Proceedings of the 1st Student Organizing International Mini-Conference on Information Electronics Systems 1 (S3L-2) 171-172 2008年10月
-
Systematic Design and Verification of Binary/Multiple-Valued Fused Logic Circuits 招待有り
Takashi Arimitsu, Tasuku Nagai, Masanori Natsui, Takahiro Hanyu
Proceedings of 2008 China-Korea-Japan Graduates Workshop on Electronic Information 178 2008年10月
-
MTJ-Based Nonvolatile Logic-in-Memory Circuit and Its Application 招待有り
Toshiki Taketani, Shoun Matsunaga, Takahiro Hanyu
Proceedings of 2008 China-Korea-Japan Graduates Workshop on Electronic Information 195 2008年10月
-
Asynchronous Multiple-Valued Data Transfer and Its Application 招待有り
Tomoyoshi Funazaki, Naoya Onizawa, Atsushi Matsumoto, Takahiro Hanyu
Proceedings of 2008 China-Korea-Japan Graduates Workshop on Electronic Information 186 2008年10月
-
Fabrication of a nonvolatile full adder based on logic-in-memory architecture using magnetic tunnel junctions 招待有り 査読有り
Shoun Matsunaga, Jun Hayakawa, Shoji Ikeda, Katsuya Miura, Haruhiro Hasegawa, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
APPLIED PHYSICS EXPRESS 1 (9) 091301-1-091301-3 2008年9月
ISSN:1882-0778
-
Fabrication of a Standby-Power-Free TMR-Based Nonvolatile Memory-in-Logic Circuit Chip with a Spin-Injection Write Scheme 招待有り 査読有り
Shoun Matsunaga, Jun Hayakawa, Shoji Ikeda, Katsuya Miura, Tetsuo Endoh, Hideo Ohno, Takahiro Hanyu
International Conference on Solid State Devices and Materials (C-3-6) 274-275 2008年9月
-
適応的電流源制御に基づくパイプライン電流モード多値演算回路の低電力化 招待有り
松浦貴史, 白濱弘勝, 夏井雅典, 羽生 貴弘
多値論理研究ノート 31 15-1-15-6 2008年9月
-
次世代VLSI向き多値回路の系統的設計 招待有り
夏井雅典, 羽生貴弘
多値論理研究ノート 31 16-1-16-6 2008年9月
-
TMR素子を用いた高密度不揮発TCAMの構成 招待有り
樋山公之, 松永翔雲, 羽生貴弘
平成20年度電気関係学会東北支部連合大会講演論文集 (2E16) 368 2008年8月
-
出力状態モニタリングに基づく電流モード多値順序回路の低消費電力化 招待有り
松浦貴史, 白濱弘勝, 夏井雅典, 羽生貴弘
平成20年度電気関係学会東北支部連合大会講演論文集 2008 (2J17) 369 2008年8月
-
電流モードsingle-track方式に基づく非同期データ転送の高速化 招待有り
大竹遥, 鬼沢直哉, 松本敦, 羽生貴弘
平成20年度電気関係学会東北支部連合大会講演論文集 (2J18) 370 2008年8月
-
High-Level Synthesis of Asynchronous Circuits and Its Optimization 招待有り
Atsushi Matsumoto, Tomohiro Yoneda, Takahiro Hanyu
Proc. 17th International Workshop on Post-Binary ULSI Systems 5-8 2008年5月
-
Highly reliable multiple-valued current-mode comparator based on active-load dual-rail operation 査読有り
Masatomo Miura, Takahiro Hanyu
IEICE TRANSACTIONS ON ELECTRONICS E91C (4) 589-594 2008年4月
DOI: 10.1093/ietele/e91-c.4.589
ISSN:1745-1353
-
Power-aware asynchronous peer-to-peer duplex communication system based on multiple-valued one-phase signaling 査読有り
Kazuyasu Mizusawa, Naoya Onizawa, Takahiro Hanyu
IEICE TRANSACTIONS ON ELECTRONICS E91C (4) 581-588 2008年4月
DOI: 10.1093/ietele/e91-c.4.581
ISSN:1745-1353
-
TMRロジックとその応用
羽生貴弘
日本磁気学会第159回研究会資料 39-46 2008年3月
-
TMRロジックとその可能性 招待有り
羽生貴弘, 松本敦, 松永翔雲
第55回応用物理関係連合講演会講演予稿集 27p-A-10 2008年3月
-
電流モード多値回路および電圧モード多値回路の構成と評価 招待有り
白濱弘勝, 羽生貴弘
電子情報通信学会「多値論理とその応用」第二種研究会技術報告(多値技報) MVL-08 (15) 93-98 2008年1月
-
多値符号化に基づく非同期式転送方式の検討 招待有り
松本敦, 羽生貴弘
電子情報通信学会「多値論理とその応用」第二種研究会技術報告(多値技報) MVL-08 (16) 99-104 2008年1月
-
Design of high-performance quaternary adders based on output-generator sharing 査読有り
Hirokatsu Shirahama, Takahiro Hanyu
Proceedings of The International Symposium on Multiple-Valued Logic 38 8-13 2008年
ISSN:0195-623X
-
Vth-variation compensation of multiple-valued current-mode circuit using TMR devices 査読有り
Akihiro Hirosaki, Masatomo Miura, Atsushi Matsumoto, Takahiro Hanyu
Proceedings of The International Symposium on Multiple-Valued Logic 38 14-19 2008年
ISSN:0195-623X
-
High-speed timing verification scheme using delay tables for a large-scaled multiple-valued current-mode circuit 招待有り 査読有り
Tasuku Nagai, Naoya Onizawa, Takahiro Hanyu
Proceedings of The International Symposium on Multiple-Valued Logic 38 70-75 2008年
ISSN:0195-623X
-
TMR-Logic-Based LUT for Quickly Wake-up FPGA 招待有り 査読有り
Daisuke Suzuki, Tetsuo Endoh, Takahiro Hanyu
2008 51ST MIDWEST SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1 AND 2 326-+ 2008年
DOI: 10.1109/MWSCAS.2008.4616802
ISSN:1548-3746
-
多値非同期データ転送方式に基づく高性能LDPCデコーダLSIの実現 招待有り
鬼沢直哉, 羽生貴弘, Vincent Gaudet
第11回システムLSIワークショップ講演資料集およびポスター資料集 272-274 2007年11月
-
Implementation of an Asynchronous LDPC Decoder Chip Using Multiple-Valued Duplex Interleaving 査読有り
N. Onizawa, T. Hanyu, V.C. Gaudet
2007 Analog Decoding Workshop 2007年5月
-
Magnetic tunnel junctions for spintronic memories and beyond 査読有り
Shoji Ikeda, Jun Hayakawa, Young Min Lee, Futnihifo Matsukura, Yuzo Ohno, Takahiro Hanyu, Hideo Ohno
IEEE TRANSACTIONS ON ELECTRON DEVICES 54 (5) 991-1002 2007年5月
ISSN:0018-9383
eISSN:1557-9646
-
Active-Load Differential Comparator for Crosstalk-Noise Reduction 査読有り
Akira Mochizuki, Masatomo Miura, Takahiro Hanyu
IEEE International Symposium on Multiple-Valued Logic 37 2007年5月
-
Design and evaluation of a 54 x 54-bit multiplier based on differential-pair circuitry 査読有り
Akira Mochizuki, Hirokatsu Shirahama, Takahiro Hanyu
IEICE TRANSACTIONS ON ELECTRONICS E90C (4) 683-691 2007年4月
DOI: 10.1093/ietele/e90-c.4.683
ISSN:0916-8524
eISSN:1745-1353
-
High-performance multiple-valued comparator based on active-load dual-rail differential logic for crosstalk-noise reduction 査読有り
Akira Mochizuki, Masatomo Miura, Takahiro Hanyu
Proceedings of The International Symposium on Multiple-Valued Logic 2007年
ISSN:0195-623X
-
Implementation of a standby-power-free CAM based on complementary ferro elect ric-capacitor logic 査読有り
S. Matsunaga, T. Hanyu, H. Kimura, T. Nakamura, H. Takasu
PROCEEDINGS OF THE ASP-DAC 2007 116-+ 2007年
DOI: 10.1109/ASPDAC.2007.357968
ISSN:2153-6961
-
TMRロジックに基づく低消費電力FPGAの構成と評価
渡邊康広, 羽生貴弘
電子情報通信学会「多値論理とその応用」第二種研究会技術報告 MVL-07 (1) 1-7 2007年1月
-
Asynchronous peer-to-peer simplex/duplex-compatible communication system using a one-phase signaling scheme 査読有り
Tomohiro Takahashi, Kazuyasu Mizusawa, Takahiro Hanyu
Proceedings of The International Symposium on Multiple-Valued Logic 37 2007年
DOI: 10.1109/ISMVL.2007.8
ISSN:0195-623X
-
Design of a processing element based on quaternary differential logic for a multi-core SIMD processor 査読有り
Hirokatsu Shirahama, Akira Mochizuki, Takahiro Hanyu, Masami Nakajima, Kazutami Arimoto
Proceedings of The International Symposium on Multiple-Valued Logic 37 2007年
ISSN:0195-623X
-
3.2-Gb/s 1024-b rate-1/2 LDPC decoder chip using a flooding-type update-schedule algorithm 査読有り
Naoya Onizawa, Tomokazu Ikeda, Takahiro Hanyu, Vincent C. Gaudet
2007 50TH MIDWEST SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-3 50 182-+ 2007年
DOI: 10.1109/MWSCAS.2007.4488574
ISSN:1548-3746
-
A standby-power-free TCAM based on TMR logic 査読有り
Kei Kimura, Takahiro Hanyu
2007 50TH MIDWEST SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-3 50 715-718 2007年
DOI: 10.1109/MWSCAS.2007.4488707
ISSN:1548-3746
-
Design of a Fluid Analysis Simulator Based on Lattice Gas Cellular Automaton
Daisuke Suzuki, Takahiro Hanyu
Proc. 3rd Workshop of Yeungnum Univ. and Tohoku Univ. 132-134 2006年11月
-
Automatic Place and Route Scheme in Multiple-Valued Current-Mode Circuit Design
Tasuku Nagai, Tomohiro Takahashi, Naoya Onizawa, Takahiro Hanyu
Proc. 3rd Workshop of Yeungnum Univ. and Tohoku Univ. 57-58 2006年11月
-
TMR-Based Differential Logic for Vt-Variation Compansation
Akihiro Hirosaki, Masatomo Miura, Akira Mochizuki, Takahiro Hanyu
Proc. 3rd Workshop of Yeungnum Univ. and Tohoku Univ. 51-52 2006年11月
-
Low-Power Latch Based on Dynamic Differential Logic
Hirokatsu Shirahama, Akira Mochizuki, Takahiro Hanyu
Proc. 3rd Workshop of Yeungnum Univ. and Tohoku Univ. 138-140 2006年11月
-
Implementation of a high-speed asynchronous data-transfer chip based on multiple-valued current-signal multiplexing 査読有り
Tomohiro Takahashi, Takahiro Hanyu
IEICE TRANSACTIONS ON ELECTRONICS E89C (11) 1598-1604 2006年11月
DOI: 10.1093/ietele/e89-c.11.1598
ISSN:1745-1353
-
Design and evaluation of a NULL-convention circuit based on dual-rail current-mode differential logic 査読有り
Naoya Onizawa, Takahiro Hanyu
IEICE TRANSACTIONS ON ELECTRONICS E89C (11) 1575-1580 2006年11月
DOI: 10.1093/ietele/e89-c.11.1575
ISSN:1745-1353
-
Design of a low-power quaternary flip-flop based on dynamic differential logic 査読有り
Akira Mochizuki, Hirokatsu Shirahama, Takahiro Hanyu
IEICE TRANSACTIONS ON ELECTRONICS E89C (11) 1591-1597 2006年11月
DOI: 10.1093/ietele/e89-c.11.1591
ISSN:1745-1353
-
TMRロジックとその応用
羽生貴弘, 望月明, 渡邊康広
応用電子物性分科会誌 12 (4) 154-159 2006年10月
-
多値2線符号化に基づく高性能非同期データ転送VLSI
高橋知宏, 水澤一泰, 羽生貴弘
信学技報 106 (315) 37-42 2006年10月
出版者・発行元: 一般社団法人電子情報通信学会ISSN:0913-5685
-
多値2線符号化に基づく双方向非同期データ転送LSIの高性能化
水澤一泰, 高橋知宏, 羽生貴弘
平成18年度電気関係学会東北支部連合大会講演論文集 342 2006年8月
-
差動ロジックに基づく高性能VLSIの展望
望月明, 羽生貴弘
多値論理研究ノート 29 19-1-19-6 2006年8月
-
隣接データの類似性に着目した高速LDPC復号化とその評価
池田智和, 鬼沢直哉, 羽生貴弘
平成18年度電気関係学会東北支部連合大会講演論文集 70 2006年8月
-
2線差動論理に基づくノイズフリー多値集積回路
三浦成友, 望月明, 羽生 貴弘
平成18年度電気関係学会東北支部連合大会講演論文集 341 2006年8月
-
TMRロジックに基づく低消費電力TCAMの構成
木村圭, 渡邊康広, 羽生貴弘
平成18年度電気関係学会東北支部連合大会講演論文集 206 2006年8月
-
Ferroelectric-Based Logic Circuit and Its Application to Content-Addressable Memory 査読有り
H. Kimura, Y. Fujimori, T. Nakamura, H. Takasu, T. Hanyu
Proceeding of IEEE The 2006 International Meeting for Future Electron Devices 41-42 2006年4月
-
強誘電体CAMとその応用
堀田健介, 羽生貴弘
電子情報通信学会「多値論理とその応用」第二種研究会技術報告 MVL-06 (1) 86-91 2006年1月
-
Highly reliable Multiple-Valued Circuit Based on Dual-Rail Differential Logic 査読有り
Akira Mochizuki, Takahiro Hanyu
ISMVL 2006: 36TH INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC 32-37 2006年
ISSN:0195-623X
-
Design of a Microprocessor Datapath Using Four-Valued Differential-Pair Circuits 査読有り
Akira Mochizuki, Takeshi Kitamura, Hirokatsu Shirahama, Takahiro Hanyu
ISMVL 2006: 36th International Symposium on Multiple-Valued Logic 86-91 2006年
ISSN:0195-623X
-
TMR-based logic-in-memory circuit for low-power VLSI 査読有り
A Mochizuki, H Kimura, M Ibuki, T Hanyu
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES E88A (6) 1408-1415 2005年6月
DOI: 10.1093/ietfec/e88-a.6.1408
ISSN:0916-8508
eISSN:1745-1337
-
TMR-Based Logic-in-Memory Circuit and Its Application 招待有り
Takahiro Hanyu, Akira Mochizuki, Mitsuru Ibuki
14th International Workshop on Post-Binary ULSI Systems 22-29 2005年5月
-
0.2V-Swing Multiple-Valued Differential-Pair Circuit and Its Application to Arithmetic VLSI 招待有り
Akira Mochizuki, Takahiro Hanyu
14th International Workshop on Post-Binary ULSI Systems 35-41 2005年5月
-
Multiple-valued duplex asynchronous data transfer scheme for interleaving in LDPC decoders 査読有り
N Onizawa, A Mochizuki, T Hanyu, VC Gaudet
35TH INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC, PROCEEDINGS 138-143 2005年
ISSN:0195-623X
-
Design of a low-power multiple-valued integrated circuit based on dynamic source-coupled logic 査読有り
A Mochizuki, T Hanyu, M Kameyama
JOURNAL OF MULTIPLE-VALUED LOGIC AND SOFT COMPUTING 11 (5-6) 481-497 2005年
ISSN:1542-3980
-
Control signal multiplexing based asynchronous data transfer scheme using multiple-valued bidirectional current-mode circuits 査読有り
T Takahashi, T Hanyu
JOURNAL OF MULTIPLE-VALUED LOGIC AND SOFT COMPUTING 11 (5-6) 499-517 2005年
ISSN:1542-3980
eISSN:1542-3999
-
Logic-in-memory VLSI circuit for fully parallel nearest pattern matching based on floating-gate-MOS pass-transistor logic 査読有り
T Hanyu, S Kaeriyama, M Kameyama
JOURNAL OF MULTIPLE-VALUED LOGIC AND SOFT COMPUTING 11 (5-6) 619-632 2005年
ISSN:1542-3980
eISSN:1542-3999
-
A 1.88ns 54x54-bit multiplier in 0.18 mu m CMOS based on multiple-valued differential-pair circuitry 査読有り
A Mochizuki, T Hanyu
2005 SYMPOSIUM ON VLSI CIRCUITS, DIGEST OF TECHNICAL PAPERS 264-267 2005年
DOI: 10.1109/VLSIC.2005.1469382
-
Dynamically function-programmable bus architecture for high-throughput intra-chip data transfer 査読有り
A Mochizuki, T Takeuchi, T Hanyu
IEICE TRANSACTIONS ON ELECTRONICS E87C (11) 1915-1922 2004年11月
ISSN:1745-1353
-
Low-power motion-vector detection VLSI processor based on pass-gate logic with dynamic supply-voltage/clock-frequency scaling 査読有り
A Mochizuki, D Nishinohara, T Hanyu
IEICE TRANSACTIONS ON ELECTRONICS E87C (11) 1876-1883 2004年11月
ISSN:0916-8524
eISSN:1745-1353
-
Differential operation oriented multiple-valued encoding and circuit realization for asynchronous data transfer 査読有り
T Takahashi, N Onizawa, T Hanyu
IEICE TRANSACTIONS ON ELECTRONICS E87C (11) 1928-1934 2004年11月
ISSN:1745-1353
-
Low-Powor Pipelined VLSI System Using a Power-Supply-Controlled CMOS Pass-Gate Network and Its Application 査読有り
A. Mochizuki, D. Nishinohara, T. Hanyu
2004 International Conference on Circuits/Systems, Computers and Communications 6CIL-5-1-6CIL-5-4 2004年7月
-
TMR-Based Logic-in-Memory Circuit for Low-Power VLSI 査読有り
H. Kimura, M. Ibuki, T. Hanyu
2004 International Conference on Circuits/Systems, Computers and Communications 8 8C3L-3-1-8C3L-3-4 2004年7月
-
Complementary ferroelectric-capacitor logic for low-power logic-in-memory VLSI 査読有り
H Kimura, T Hanyu, M Kameyama, Y Fujimori, T Nakamura, H Takasu
IEEE JOURNAL OF SOLID-STATE CIRCUITS 39 (6) 919-926 2004年6月
ISSN:0018-9200
-
双方向同時制御に基づく非同期データ転送方式とそのVLSI 実現 査読有り
高橋知宏, 羽生貴弘, 亀山充隆
電子情報通信学会論文誌C Vol.J87-C (No.5) 459-468 2004年5月
出版者・発行元: 一般社団法人電子情報通信学会ISSN:1345-2827
-
Low-power multiple-valued current-mode logic using substrate bias control 査読有り
A Mochizuki, T Hanyu
IEICE TRANSACTIONS ON ELECTRONICS E87C (4) 582-588 2004年4月
ISSN:1745-1353
-
Ferroelectric non-volatile logic devices 査読有り
H Takasu, Y Fujimori, T Nakamura, H Kimura, T Hanyu, M Kameyama
INTEGRATED FERROELECTRICS 61 83-88 2004年
DOI: 10.1080/10584580490458793
ISSN:1058-4587
-
Intra-chip address-presetting data-transfer scheme using four-valued encoding 査読有り
A Mochizuki, T Takeuchi, T Hanyu
34TH INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC, PROCEEDINGS 192-197 2004年
ISSN:0195-623X
-
Multiple-valued multiple-rail encoding scheme for low-power asynchronous communication 査読有り
T Takahashi, T Hanyu
34TH INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC, PROCEEDINGS 20-25 2004年
ISSN:0195-623X
-
A study of multiple-valued magnetoresistive RAM (MRAM) using binary MTJ devices 査読有り
H Kimura, K Pagiamtzis, A Sheikholeslami, T Hanyu
34TH INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC, PROCEEDINGS 340-345 2004年
ISSN:0195-623X
-
強誘電体デバイスを用いたロジックインメモリVLSIの構成 査読有り
木村啓明, 羽生貴弘, 亀山充隆, 藤森敬和, 中村孝, 高須秀視
電子情報通信学会論文誌 J86-C (8) 886-893 2003年8月
出版者・発行元: 一般社団法人電子情報通信学会ISSN:1345-2827
-
Complementary Ferroelectric-Capacitor Logic and Its Application 査読有り
Hiromitsu Kimura, Takahiro Hanyu, Michitaka Kameyama, Yoshikazu Fujimori, Takashi Nakamura, Hidemi Takasu
IEEE International Solid-State Circuits Conference Digest of Technical Papers 46 160-161 2003年2月
-
Ferroelectric non-volatile logic devices 査読有り
Y Fujimori, T Nakamura, H Takasu, H Kimura, T Hanyu, M Kameyama
INTEGRATED FERROELECTRICS 56 1003-1012 2003年
DOI: 10.1080/10584580390259489
ISSN:1058-4587
-
Complementary ferroelectric-capacitor logic for low-power logic-in-memory VLSI 査読有り
H Kimura, T Hanyu, M Kameyama, Y Fujimori, T Nakamura, H Takasu
2003 IEEE INTERNATIONAL SOLID-STATE CIRCUITS CONFERENCE: DIGEST OF TECHNICAL PAPERS 46 160-+ 2003年
ISSN:0193-6530
-
Optimal Design of a Dual-Rail Multiple-Valued Current-Mode Integrated Circuit Based on Voltage Swing Minimimzation 査読有り
Tsukasa Ike, Takahiro Hanyu, Michitaka Kameyama
Journal of Multiple-Valued Logic & Soft Computing 9 (1) 5-21 2003年1月
-
Multiple-Valued Logic-in-Memory VLSI Using MFSFETs and Its Applications 査読有り
Hiromitsu Kimura, Takahiro Hanyu, Michitaka Kameyama
Journal of Multiple-Valued Logic & Soft Computing 9 (1) 23-42 2003年1月
-
Bidirectional data transfer based asynchronous VLSI system using multiple-valued current mode logic 査読有り
T Hanyu, T Takahashi, M Kameyama
33RD INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC, PROCEEDINGS 33 99-104 2003年
ISSN:0195-623X
-
Multiple-valued dynamic source-coupled logic 査読有り
T Hanyu, A Mochizuki, M Kameyama
33RD INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC, PROCEEDINGS 33 207-212 2003年
ISSN:0195-623X
-
New Paradigm VLSI Computing Research and IT Policy
Takahiro Hanyu
Proc. 2002 International Symposium on New Paradigm VLSI Computing 1 9-12 2002年12月
-
VLSI System Based on Ferroelectric Logic-in-Memory Architecture
Hiromitsu Kimura, Takahiro Hanyu, Michitaka Kameyama
International Symposium on New Paradigm VLSI Computing 1 60-65 2002年12月
-
Implementation of a DRAM-cell-based multiple-valued logic-in-memory circuit 査読有り
H Kimura, T Hanyu, M Kameyama
IEICE TRANSACTIONS ON ELECTRONICS E85C (10) 1814-1823 2002年10月
ISSN:1745-1353
-
Dynamic-storage-based logic-in-memory circuit and its application to a fine-grain pipelined system 査読有り
H Kimura, T Hanyu, M Kameyama
IEICE TRANSACTIONS ON ELECTRONICS E85C (2) 288-296 2002年2月
ISSN:0916-8524
eISSN:1745-1353
-
Ferroelectric-based functional pass-gate for fine-grain pipelined VLSI computation 査読有り
Takahiro Hanyu, Hiromitsu Kimura, Michitaka Kameyama, Yoshikazu Fujimori, Takashi Nakamura, Hidemi Takasu
Digest of Technical Papers-IEEE International Solid-State Circuits Conference 164-165 2002年
DOI: 10.1109/ISSCC.2002.992195
ISSN:0193-6530
-
Multiple-valued logic-in-memory VLSI based on ferroelectric capacitor storage and charge addition 査読有り
H Kimura, T Hanyu, M Kameyama
ISMVL 2002: 32ND IEEE INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC, PROCEEDINGS 32 161-166 2002年
ISSN:0195-623X
-
Fully source-coupled logic based multiple-valued VLSI 査読有り
T Ike, T Hanyu, M Kameyama
ISMVL 2002: 32ND IEEE INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC, PROCEEDINGS 32 270-275 2002年
ISSN:0195-623X
-
Ferroelectric-based functional pass-gate for low-power VLSI 査読有り
H Kimura, T Hanyu, M Kameyama, Y Fujimori, T Nakamura, H Takasu
2002 SYMPOSIUM ON VLSI CIRCUITS, DIGEST OF TECHNICAL PAPERS 196-199 2002年
-
Asynchronous current-mode multiple-valued VLSI system based on two-color two-rail coding 査読有り
T Hanyu, M Kameyama
ELECTRONICS AND COMMUNICATIONS IN JAPAN PART II-ELECTRONICS 84 (11) 60-67 2001年
ISSN:8756-663X
-
Dual-rail multiple-valued current-mode VLSI with biasing current sources 査読有り
T Ike, T Hanyu, M Kameyama
31ST INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC, PROCEEDINGS 31 21-26 2001年
ISSN:0195-623X
-
Multiple-valued mask-programmable logic array using one-transistor universal-literal circuits
T Hanyu, M Kameyama, K Shimabukuro, C Zukeran
31ST INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC, PROCEEDINGS 31 167-172 2001年
ISSN:0195-623X
-
Integration of Asynchronous and Self-Checking Multiple-Valued Current-Mode Circuits Based on Dual-Rail Differential Logic 査読有り
T. Hanyu, T. Ike, M. Kameyama
Pacific Rim International Symposium on Dependable Computing 7 27-33 2001年
-
2線式電流モード多値論理に基づくセルフチェッキングVLSI 査読有り
池司, 羽生貴弘, 亀山充隆
電子情報通信学会論文誌 J83-C (4) 318-325 2000年
出版者・発行元: 一般社団法人電子情報通信学会ISSN:1345-2827
-
2色2線式符号化に基づく非同期電流モード多値VLSIシステム 査読有り
羽生貴弘, 亀山充隆
電子情報通信学会論文誌 J83-C (6) 463-470 2000年
出版者・発行元: 一般社団法人電子情報通信学会ISSN:1345-2827
-
強誘電体デバイスを用いたロジックインメモリVLSIとその応用 査読有り
木村啓明, 羽生貴弘, 亀山充隆
電子情報通信学会論文誌 J83-C (8) 749-756 2000年
-
ロジックインメモリアーキテクチャに基づく道路抽出VLSIプロセッサの構成 査読有り
工藤隆男, 羽生貴弘, 亀山充隆
計測自動制御学会論文集 36 (11) 1009-1018 2000年
出版者・発行元: The Society of Instrument and Control EngineersDOI: 10.9746/sicetr1965.36.1009
ISSN:0453-4654
-
Low-power dual-rail multiple-valued current-mode logic circuit using multiple input-signal levels 査読有り
T Hanyu, T Ike, M Kameyama
30TH IEEE INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC, PROCEEDINGS 30 382-387 2000年
ISSN:0195-623X
-
DRAM-cell-based multiple-valued logic-in-memory VLSI with charge addition and charge storage 査読有り
T Hanyu, H Kimura, M Kameyama
30TH IEEE INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC, PROCEEDINGS 30 423-429 2000年
ISSN:0195-623X
-
Arithmetic-oriented multiple-valued logic-in-memory VLSI based on current-mode logic 査読有り
S Kaeriyama, T Hanyu, M Kameyama
30TH IEEE INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC, PROCEEDINGS 30 438-443 2000年
ISSN:0195-623X
-
Arithmetic-Oriented Logic-in-Memory VLSI Using Floating-Gate MOS Transistors 査読有り
S. Kaeriyama, T. Hanyu, M. Kameyama
Multiple-Valued Logic International Journal 8 (1) 33-51 2000年1月
-
Integration of asynchronous and self-checking multiple-valued current-mode circuits based on dual-rail differential logic 査読有り
T Hanyu, T Ike, M Kameyama
2000 PACIFIC RIM INTERNATIONAL SYMPOSIUM ON DEPENDABLE COMPUTING, PROCEEDINGS 27-33 2000年
-
2色2線符号化に基づく非同期電流モード多値VLSIシステム
羽生 貴弘, 亀山 充隆
映像情報メディア学会技術報告 23 (58) 41-47 1999年9月21日
出版者・発行元: 一般社団法人映像情報メディア学会DOI: 10.11485/itetr.23.58.0_41
ISSN:1342-6893
-
Multiple-valued logic-in-memory VLSI architecture based on floating-gate-MOS pass-transistor logic 査読有り
T Hanyu, M Kameyama
IEICE TRANSACTIONS ON ELECTRONICS E82C (9) 1662-1668 1999年9月
ISSN:0916-8524
eISSN:1745-1353
-
Innovation of Intelligent Integrated System Architecture 査読有り
M. Kameyama, T. Hanyu, M. Hariyama
Int. Symp. on Future of Intellectual Integrated Electronics 231-247 1999年
-
Multiple-Valued Logic-in-Memory VLSI and Its Applications 査読有り
T. Hanyu, M. Kameyama
Int. Symp. on Future of Intellectual Integrated Electronics 271-281 1999年
-
Multiple-valued content-addressable memory using metal-ferroelectric-semiconductor FETs 査読有り
T Hanyu, H Kimura, M Kameyama
1999 29TH IEEE INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC, PROCEEDINGS 29 30-35 1999年
ISSN:0195-623X
-
Self-checking multiple-valued circuit based on dual-rail current-mode differential logic 査読有り
T Hanyu, T Ike, M Kameyama
1999 29TH IEEE INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC, PROCEEDINGS 29 275-279 1999年
ISSN:0195-623X
-
Design of Multiple-Valued Logic-in-Memory VLSI Based on Linear Summation 査読有り
S. Kaeriyama, T. Hanyu, M. Kameyama
Korea-Japan Joint Symposium on Multiple-Valued Logic 1 211-218 1999年
-
Optimal design of a current-mode deep-submicron multiple-valued integrated circuit and application 査読有り
Takahiro Saito, Takahiro Hanyu, Michitaka Kameyama
Systems and Computers in Japan 29 (11) 40-47 1998年
出版者・発行元: John Wiley and Sons Inc.DOI: 10.1002/(SICI)1520-684X(199810)29:11<40::AID-SCJ5>3.0.CO;2-S
ISSN:0882-1666
-
ディジットパラレル多値CAMの構成と評価 査読有り
羽生貴弘, 寺西要, 亀山充隆
電子情報通信学会論文誌 J81 (D-I) 151-156 1998年
出版者・発行元: 一般社団法人電子情報通信学会ISSN:0915-1915
-
電流モードディープサブミクロン多値集積回路の最適設計とその応用 査読有り
齋藤敬弘, 羽生貴弘, 亀山充隆
電子情報通信学会論文誌 J81 (D-I) 157-164 1998年
出版者・発行元: 一般社団法人電子情報通信学会ISSN:0915-1915
-
Multiple-Valued Logic-in-Memory VLSI Based on a Floating-Gate-MOS Pass-Transistor Network 査読有り
T. Hanyu, K. Teranishi, M. Kameyama
IEEE International Solid-State Circuits Conference Digest of Technical Papers 41 194-195 1998年
-
Asynchronous multiple-valued VLSI system based on dual-rail current-mode differential logic 査読有り
T Hanyu, T Saito, M Kameyama
1998 28TH IEEE INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC - PROCEEDINGS 28 134-139 1998年
ISSN:0195-623X
-
Multiple-valued floating-gate-MOS pass logic and its application to logic-in-memory VLSI 査読有り
T Hanyu, K Teranihi, M Kameyama
1998 28TH IEEE INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC - PROCEEDINGS 28 270-275 1998年
ISSN:0195-623X
-
Non-volatile one-transistor-cell multiple-valued CAM with a digit-parallel-access scheme and its applications 査読有り
T Hanyu, N Kanagawa, M Kameyama
COMPUTERS & ELECTRICAL ENGINEERING 23 (6) 407-414 1997年11月
DOI: 10.1016/S0045-7906(97)00027-X
ISSN:0045-7906
-
Design and implementation of a low-power multiple-valued current-mode integrated circuit with current-source control 査読有り
T Hanyu, S Kazama, M Kameyama
IEICE TRANSACTIONS ON ELECTRONICS E80C (7) 941-947 1997年7月
ISSN:0916-8524
eISSN:1745-1353
-
Design and evaluation of a 4-valued universal-literal CAM for cellular logic image processing 査読有り
T Hanyu, M Arakaki, M Kameyama
IEICE TRANSACTIONS ON ELECTRONICS E80C (7) 948-955 1997年7月
ISSN:0916-8524
eISSN:1745-1353
-
A transistor cell 4-valued universal-literal CAM for a cellular logic image processor 査読有り
T Hanyu, M Arakaki, M Kameyama
1997 IEEE INTERNATIONAL SOLID-STATE CIRCUITS CONFERENCE - DIGEST OF TECHNICAL PAPERS 40 46-47 1997年
ISSN:0193-6530
-
One-transistor-cell 4-valued universal-literal CAM for cellular logic image processing 査読有り
T Hanyu, M Arakaki, M Kameyama
27TH INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC - 1997 PROCEEDINGS 27 175-180 1997年
ISSN:0195-623X
-
Design and evaluation of a multiple-valued arithmetic integrated circuit based on differential logic 査読有り
T Hanyu, A Mochizuki, M Kameyama
IEE PROCEEDINGS-CIRCUITS DEVICES AND SYSTEMS 143 (6) 331-336 1996年12月
ISSN:1350-2409
-
Design of a one-transistor-cell multiple-valued CAM 査読有り
T Hanyu, N Kanagawa, M Kameyama
IEEE JOURNAL OF SOLID-STATE CIRCUITS 31 (11) 1669-1674 1996年11月
ISSN:0018-9200
-
Design of a Rule-Based Highly-Safe Intelligent Vehicle Using a Confent-Addressable Memory 査読有り
T. Hanyu, S. Abe, M. Kameyama, T. Higuchi
Trans. of the Society of Instrument and Control Engineers 32 (1) 114-121 1996年
出版者・発行元: 計測自動制御学会DOI: 10.9746/sicetr1965.32.114
ISSN:0453-4654
-
Synthesis of Multiple-Valued Logic Metworks Based on Super Pass Gates 査読有り
X. Deng, T. Hanyu, M. Kameyama
Multiple-Valued Logic International Journal 1 (1) 161-183 1996年
-
One-transistor-cell multiple-valued CAM for a collision detection VLSI processor 査読有り
T Hanyu, N Kanagawa, M Kameyama
1996 IEEE INTERNATIONAL SOLID-STATE CIRCUITS CONFERENCE, DIGEST OF TECHNICAL PAPERS 39 264-265 1996年
ISSN:0193-6530
-
A multiple-valued ferroelectric content-addressable memory 査読有り
A Sheikholeslami, PG Gulak, T Hanyu
1996 26TH INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC, PROCEEDINGS 26 74-79 1996年
ISSN:0195-623X
-
Quaternary universal-literal CAM for cellular logic image processing 査読有り
T Hanyu, M Arakaki, M Kameyama
1996 26TH INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC, PROCEEDINGS 26 224-229 1996年
ISSN:0195-623X
-
Non-Volatile One-Transistor-Cell CAM and its Applications 査読有り
T. Hanyu, N. Kanagawa, M. Kameyama
International Conference on Soft Computing 4 101-104 1996年
-
Low-power multiple-valued current-mode integrated circuit with current-source control and its application 査読有り
T Hanyu, S Kazama, M Kameyama
PROCEEDINGS OF THE ASP-DAC '97 - ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE 1997 413-418 1996年
-
A 200 MHz pipelined multiplier using 1.5 V-supply multiple-valued MOS current-mode circuits with dual-rail source-coupled logic 査読有り
T Hanyu, M Kameyama
IEEE JOURNAL OF SOLID-STATE CIRCUITS 30 (11) 1239-1245 1995年11月
DOI: 10.1109/4.475711
ISSN:0018-9200
-
MULTIPLE-VALUED LOGIC NETWORK USING QUANTUM-DEVICE-ORIENTED SUPERPASS GATES AND ITS MINIMIZATION 査読有り
DENG, X, T HANYU, M KAMEYAMA
IEE PROCEEDINGS-CIRCUITS DEVICES AND SYSTEMS 142 (5) 299-306 1995年10月
ISSN:1350-2409
-
QUANTUM-DEVICE-ORIENTED MULTIPLE-VALUED LOGIC SYSTEM BASED ON A SUPER PASS GATE 査読有り
XW DENG, T HANYU, M KAMEYAMA
IEICE TRANSACTIONS ON INFORMATION AND SYSTEMS E78D (8) 951-958 1995年8月
ISSN:0916-8532
-
FUNCTIONALLY SEPARATED, MULTIPLE-VALUED CONTENT-ADDRESSABLE MEMORY AND ITS APPLICATIONS 査読有り
T HANYU, S ARAGAKI, T HIGUCHI
IEE PROCEEDINGS-CIRCUITS DEVICES AND SYSTEMS 142 (3) 165-172 1995年6月
ISSN:1350-2409
-
ギガスケールシステムオンチップに向けての知能集積システムの展望 招待有り 査読有り
亀山充隆, 羽生貴弘
電子情報通信学会誌 78 (2) 187-194 1995年2月
-
Rule-Based Highly-Safe Intelligent Vehicle Using a New Content-Addressable Memory 査読有り
T. Hanyu, S. Abe, M. Kameyama, T. Higuchi
IEEE Proc. of the Intelligent Vehicles Symposium 467-472 1995年
-
A 1.5V-SUPPLY 200MHZ PIPELINED MULTIPLIER USING MULTIPLE-VALUED CURRENT-MODE MOS DIFFERENTIAL LOGIC CIRCUITS 査読有り
T HANYU, A MOCHIZUKI, M KAMEYAMA
1995 IEEE INTERNATIONAL SOLID-STATE CIRCUITS CONFERENCE, DIGEST OF TECHNICAL PAPERS 38 314-315 1995年
ISSN:0193-6530
-
Multiple-valued arithmetic integrated circuits based on 1.5V-supply dual-rail source-coupled logic 査読有り
T Hanyu, A Mochizuki, M Kameyama
1995 25TH INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC, PROCEEDINGS 25 64-69 1995年
ISSN:0195-623X
-
Quantum device model based super pass gate for multiple-valued digital systems 査読有り
XW Deng, T Hanyu, M Kameyama
1995 25TH INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC, PROCEEDINGS 25 92-97 1995年
ISSN:0195-623X
-
On-chip hardware accelerator for model-based 3-D instrumentation using run-length matching 査読有り
M Kamoshida, T Hanyu, M Kameyama
PROCEEDINGS OF THE 1995 IEEE IECON - 21ST INTERNATIONAL CONFERENCE ON INDUSTRIAL ELECTRONICS, CONTROL, AND INSTRUMENTATION, VOLS 1 AND 2 21 1319-1323 1995年
ISSN:1553-572X
-
DESIGN AND EVALUATION OF A CURRENT-MODE MULTIPLE-VALUED PLA BASED ON A RESONANT-TUNNELING TRANSISTOR MODEL 査読有り
DENG, X, T HANYU, M KAMEYAMA
IEE PROCEEDINGS-CIRCUITS DEVICES AND SYSTEMS 141 (6) 445-450 1994年12月
ISSN:1350-2409
-
LOW-POWER 8-VALUED CELLULAR ARRAY VLSI FOR HIGH-SPEED IMAGE-PROCESSING 査読有り
T HANYU, M KUWAHARA, T HIGUCHI
IEICE TRANSACTIONS ON ELECTRONICS E77C (7) 1042-1048 1994年7月
ISSN:0916-8524
eISSN:1745-1353
-
MULTIPLE-VALUED CURRENT-MODE MOS INTEGRATED-CIRCUITS BASED ON DUAL-RAIL SOURCE-COUPLED LOGIC 査読有り
T HANYU, A MOCHIZUKI, M KAMEYAMA
TWENTY-FOURTH INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC, PROCEEDINGS 24 19-26 1994年
ISSN:0195-623X
-
Rule-Based Highly-Safe Intelligent Vehicle Using a New Content-Addressable Memory 査読有り
M. Hariyama, T. Hanyu, M. Kameyama
IEEE Proc. of the Intelligent Vehicles Symposium 143-148 1994年
-
A HIGH-DENSITY MULTIPLE-VALUED CONTENT-ADDRESSABLE MEMORY-BASED ON ONE TRANSISTOR CELL 査読有り
S ARAGAKI, T HANYU, T HIGUCHI
IEICE TRANSACTIONS ON ELECTRONICS E76C (11) 1649-1656 1993年11月
ISSN:0916-8524
eISSN:1745-1353
-
MULTIPLE-VALUED PROGRAMMABLE LOGIC ARRAY BASED ON A RESONANT-TUNNELING DIODE MODEL 査読有り
T HANYU, Y YABE, M KAMEYAMA
IEICE TRANSACTIONS ON ELECTRONICS E76C (7) 1126-1132 1993年7月
ISSN:0916-8524
eISSN:1745-1353
-
3-D OBJECT RECOGNITION SYSTEM BASED ON 2-D CHAIN CODE MATCHING 査読有り
T HANYU, S CHOI, M KAMEYAMA, T HIGUCHI
IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES E76A (6) 917-923 1993年6月
ISSN:0916-8508
eISSN:1745-1337
-
PROSPECTS OF MULTIPLE-VALUED VLSI PROCESSORS 査読有り
T HANYU, M KAMEYAMA, T HIGUCHI
IEICE TRANSACTIONS ON ELECTRONICS E76C (3) 383-392 1993年3月
ISSN:0916-8524
eISSN:1745-1353
-
RULE-PROGRAMMABLE MULTIPLE-VALUED MATCHING VLSI PROCESSOR FOR REAL-TIME RULE-BASED SYSTEMS 査読有り
T HANYU, K TAKEDA, T HIGUCHI
IEICE TRANSACTIONS ON ELECTRONICS E76C (3) 472-479 1993年3月
ISSN:0916-8524
eISSN:1745-1353
-
多進木網に基づく高速多値連想メモリ 査読有り
羽生貴弘, 樋口龍雄
電子情報通信学会論文誌 J76-D-I (2) 54-62 1993年
出版者・発行元: 一般社団法人電子情報通信学会ISSN:0915-1915
-
Beyond-Binary Circuits for Signal Processing 査読有り
T. Hanyu, M. Kameyama, T. Higuchi
IEEE International Solid-State Circuits Conference Digest of Technical Papers 36 134-135 1993年
-
A MULTIPLE-VALUED CONTENT-ADDRESSABLE MEMORY USING LOGIC-VALUE CONVERSION AND THRESHOLD FUNCTIONS 査読有り
S ARAGAKI, T HANYU, T HIGUCHI
TWENTY-THIRD INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC : PROCEEDINGS 23 170-175 1993年
ISSN:0195-623X
-
PROSPECTS OF MULTIPLE-VALUED ASSOCIATIVE VLSI PROCESSORS 査読有り
T HANYU, M KAMEYAMA
PROCEEDINGS OF THE 36TH MIDWEST SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1 AND 2 36 1484-1488 1993年
-
Design of a Low-Power Multiple-Valued Cellular Array Using Dynamic Circuits and Its Application to Image Processing 査読有り
T. Hanyu, M. Kuwahara, T. Higuchi
IEEE Int. Workshop on Intelligent Signal Processing and Communication Systems 309-314 1993年
-
DIGIT-PIPELINED ON-CHIP CLIQUE-FINDING VLSI PROCESSOR FOR REAL-TIME 3-D OBJECT RECOGNITION 査読有り
T HANYU, T KODAMA, T HIGUCHI
ELECTRONICS LETTERS 28 (8) 722-724 1992年4月
DOI: 10.1049/el:19920458
ISSN:0013-5194
-
DYNAMICALLY RULE-PROGRAMMABLE VLSI PROCESSOR FOR FULLY-PARALLEL INFERENCE 査読有り
T HANYU, K TAKEDA, T HIGUCHI
ELECTRONICS LETTERS 28 (7) 695-697 1992年3月
DOI: 10.1049/el:19920439
ISSN:0013-5194
-
DESIGN OF A MULTIPLE-VALUED RULE-PROGRAMMABLE MATCHING VLSI CHIP FOR REAL-TIME RULE-BASED SYSTEMS 査読有り
T HANYU, K TAKEDA, T HIGUCHI
PROCEEDINGS - THE TWENTY-SECOND INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC 22 274-281 1992年
-
VLSI-Oriented 3-D Object Recognition Algorithm Based on Chain Code Matching 査読有り
S. Choi, T. Hanyu, M. Kameyama, T.Higuchi
Joint Technical Conf. on Circuits/Systems, Computers and Communications 36-40 1992年
-
200-VERTEX ON-CHIP CLIQUE-FINDING VLSI PROCESSOR FOR REAL-TIME 3-D OBJECT RECOGNITION 査読有り
T HANYU, T KODAMA, T HIGUCHI
PROCEEDINGS OF THE 1992 INTERNATIONAL CONFERENCE ON INDUSTRIAL ELECTRONICS, CONTROL, INSTRUMENTATION, AND AUTOMATION, VOLS 1-3 3 1379-1384 1992年
-
A DESIGN OF A HIGH-DENSITY MULTILEVEL MATCHING ARRAY CHIP FOR ASSOCIATIVE PROCESSING 査読有り
T HANYU, H ISHII, T HIGUCHI
IEICE TRANSACTIONS ON COMMUNICATIONS ELECTRONICS INFORMATION AND SYSTEMS 74 (4) 918-928 1991年4月
ISSN:0917-1673
-
A MULTIPLE-VALUED LOGIC ARRAY VLSI BASED ON 2-TRANSISTOR DELTA-LITERAL CIRCUIT AND ITS APPLICATION TO REAL-TIME REASONING SYSTEMS 査読有り
T HANYU, Y KOJIMA, T HIGUCHI
PROCEEDINGS OF THE TWENTY-FIRST INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC 21 16-23 1991年
-
A FLOATING-GATE-MOS-BASED MULTIPLE-VALUED ASSOCIATIVE MEMORY 査読有り
T HANYU, T HIGUCHI
PROCEEDINGS OF THE TWENTY-FIRST INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC 21 24-31 1991年
-
DESIGN OF A HIGH-DENSITY MULTIPLE-VALUED CONTENT-ADDRESSABLE MEMORY BASED ON FLOATING-GATE MOS DEVICES 査読有り
T HANYU, T HIGUCHI
PROCEEDINGS OF THE TWENTIETH INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC 20 18-23 1990年
-
HIGH-DENSITY QUATERNARY LOGIC ARRAY CHIP FOR KNOWLEDGE INFORMATION-PROCESSING SYSTEMS 査読有り
T HANYU, T HIGUCHI
IEEE JOURNAL OF SOLID-STATE CIRCUITS 24 (4) 916-921 1989年8月
DOI: 10.1109/4.34071
ISSN:0018-9200
-
多値連想メモリの構成 査読有り
羽生貴弘, 樋口龍雄
電子情報通信学会論文誌 J71-D (8) 1502-1510 1988年
出版者・発行元: 電子情報通信学会ISSN:0913-5731
-
Design of a Highly Parallel AI Processor Using New Multiple-Valued MOS Devices 査読有り
T. Hanyu, T. Higuchi
Proc. IEEE International Symposium on Multiple-Valued Logic 18 300-306 1988年
-
High-Density Quaternary Logic Array Chip for Knowledge Information Processing Systems 査読有り
T. Hanyu, T. Higuchi
IEEE Symposium VLSI Circuits Digest of Technical Papers 3 29-30 1988年
-
DESIGN AND IMPLEMENTATION OF QUATERNARY NMOS INTEGRATED-CIRCUITS FOR PIPELINED IMAGE-PROCESSING 査読有り
M KAMEYAMA, T HANYU, T HIGUCHI
IEEE JOURNAL OF SOLID-STATE CIRCUITS 22 (1) 20-27 1987年2月
DOI: 10.1109/JSSC.1987.1052666
ISSN:0018-9200
-
Design and implementation of an nmos image processor based on quaternary logic 査読有り
Takahiro Hanyu, Michitaka Kameyama, Tatsuo Higuchi
Systems and Computers in Japan 18 (3) 92-106 1987年
ISSN:1520-684X 0882-1666
-
高速パターンマッチング用4値ゲートアレーの構成 査読有り
羽生貴弘, 亀山充隆, 樋口龍雄
電子情報通信学会論文誌 J70-D (2) 493-496 1987年
出版者・発行元: 電子情報通信学会ISSN:0913-5731
-
Quaternary Gate Array for Pattern Matching and its Application to Knowledge Information Processing System 査読有り
T. Hanyu, M. Kameyama, T. Higuchi
Proc. IEEE International Symposium on Multiple-Valued Logic 17 181-187 1987年
-
4値論理に基づくNMOS画像処理プロセッサの構成と試作 査読有り
羽生貴弘, 亀山充隆, 樋口龍雄
電子通信学会論文誌 J69-D (5) 667-678 1986年
出版者・発行元: 電子通信学会ISSN:0374-468X
-
AN NMOS PIPELINED IMAGE-PROCESSOR USING QUATERNARY LOGIC 査読有り
M KAMEYAMA, T HANYU, M ESASHI, T HIGUCHI
ISSCC DIGEST OF TECHNICAL PAPERS 28 86-87 1985年
ISSN:0193-6530
-
Implementation of Quaternary NMOS Integrated Circuits for Pipelined Image Processing 査読有り
M. Kameyama, T. Hanyu, M. Esashi, T. Higuchi, T. Ito
Proc. IEEE International Symposium on Multiple-Valued Logic 15 226-232 1985年
-
4値TゲートNMOS集積回路 査読有り
亀山充隆, 樋口龍雄, 江刺正喜, 羽生貴弘
電子通信学会論文誌 J67-D (9) 1064-1065 1984年
MISC 131
-
Nonvolatile field-programmable gate array using a standard-cell-based design flow
Daisuke Suzuki, Takahiro Hanyu
IEICE Transactions on Information and Systems E104D (8) 1111-1120 2021年
出版者・発行元: Institute of Electronics Information Communication EngineersDOI: 10.1587/transinf.2020LOP0010
ISSN: 1745-1361 0916-8532
-
不揮発記憶機能が拓く新概念ロジックLSI設計技術とその将来展望
夏井雅典, 羽生貴弘
電子情報通信学会論文誌 C(Web) J104-C (6) 2021年
ISSN: 1881-0217
-
パワーゲーティング機能付き不揮発RISC-V CPUの基礎検討
坂本佳介, 夏井雅典, 羽生貴弘
電気関係学会東北支部連合大会講演論文集(CD-ROM) 2021 2021年
-
動作環境適応型パワーゲーティングスイッチ制御技術とその不揮発ロジックLSIへの応用
ZHONG Fangcen, ZHONG Fangcen, 夏井雅典, 羽生貴弘
電子情報通信学会技術研究報告(Web) 121 (277(VLD2021 17-48)) 2021年
ISSN: 2432-6380
-
不揮発ロジックLSIのパワーゲーティングスイッチ制御技術に関する一検討
ZHONG Fangcen, 夏井雅典, 羽生貴弘
電子情報通信学会技術研究報告(Web) 120 (234(VLD2020 11-38)) 2020年
ISSN: 2432-6380
-
非相補抵抗状態検出機能を有する高信頼MTJベース不揮発性フリップフロップの構成
山岸源征, 夏井雅典, 羽生貴弘
電気関係学会東北支部連合大会講演論文集(CD-ROM) 2019 2019年
-
省エネルギー二値化ニューラルネットワーク向けMTJベース積和演算回路の構成
千葉智貴, 夏井雅典, 羽生貴弘
電子情報通信学会技術研究報告 119 (284(ICD2019 28-43)) 2019年
ISSN: 0913-5685
-
次世代IoT社会に向けた脳型LSI設計技術
夏井雅典, 羽生貴弘
電子情報通信学会大会講演論文集(CD-ROM) 2018 2018年
ISSN: 1349-144X
-
不揮発量子化ニューラルネットワーク構成に基づく小型・超低消費電力XNOR回路の構成
千葉智貴, 夏井雅典, 羽生貴弘
電気関係学会東北支部連合大会講演論文集(CD-ROM) 2018 2018年
-
時系列特徴を用いたチップ内データ転送エラー訂正手法とその可能性 (画像工学)
加藤 健太郎, 夏井 雅典, 羽生 貴弘
電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 117 (277) 33-38 2017年11月6日
出版者・発行元: 電子情報通信学会ISSN: 0913-5685
-
Special Section on Multiple-Valued Logic and VLSI Computing FOREWORD
Takahiro Hanyu
IEICE TRANSACTIONS ON INFORMATION AND SYSTEMS E100D (8) 1555-1555 2017年8月
ISSN: 1745-1361
-
Spintronics Materials and Devices for Working Memory Technology FOREWORD
Hideo Ohno, Masafumi Yamamoto, Tetsuo Endoh, Yasuo Ando, Takahiro Hanyu, Kohei M. Itoh, Masaaki Tanaka, Seiji Mitani, Hitoshi Wakabayashi
JAPANESE JOURNAL OF APPLIED PHYSICS 56 (8) 2017年8月
ISSN: 0021-4922
eISSN: 1347-4065
-
MTJ/MOSハイブリッド回路技術
羽生貴弘
応用物理学会誌 86 (8) 662-665 2017年8月
出版者・発行元: 応用物理学会ISSN: 0369-8009
-
ストカスティック演算に基づく省エネルギー脳型LSI設計技術
鬼沢直哉, 松宮一道, 羽生貴弘
IEICE Fundamental Review 11 (1) 28-39 2017年7月
出版者・発行元: 電子情報通信学会 -
ストカスティック演算に基づく省エネルギー脳型LSI実現の展望
鬼沢 直哉, 松宮 一道, 羽生 貴弘
回路とシステムワークショップ論文集 Workshop on Circuits and Systems 30 202-207 2017年5月11日
出版者・発行元: [電子情報通信学会] -
ストカスティック演算に基づくFIRフィルタの振幅特性測定
鎌田 裕成, 越田 俊介, 鬼沢 直哉, 阿部 正英, 羽生 貴弘, 川又 政征
回路とシステムワークショップ論文集 Workshop on Circuits and Systems 30 38-40 2017年5月11日
出版者・発行元: [電子情報通信学会] -
ストカスティック演算に基づくディジタルフィルタにおける周波数振幅特性の測定法に関する一検討 (制御研究会 制御と信号処理の境界・融合領域,および制御・信号処理一般)
鎌田 裕成, 越田 俊介, 鬼沢 直哉, 阿部 正英, 羽生 貴弘, 川又 政征
電気学会研究会資料. CT 2017 (19) 1-6 2017年3月13日
出版者・発行元: 電気学会 -
視覚的注意計算モデルのハードウェア実装に向けた基礎的考察
西野海斗, 鬼沢直哉, 松宮一道, 塩入論, 羽生貴弘
電気関係学会東北支部連合大会講演論文集(CD-ROM) 2017 2017年
-
不揮発マイコン向け高速・低電力アナログ・デジタル変換器の構成 : 参照電圧不要な高速・低電力逐次比較型AD変換器 (集積回路) -- (デザインガイア2016 : VLSI設計の新しい大地)
玉越 晃, 夏井 雅典, 羽生 貴弘
電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 116 (334) 51-56 2016年11月29日
出版者・発行元: 電子情報通信学会ISSN: 0913-5685
-
ストカスティック演算に基づくガンマトーンフィルタのハードウェア実現 (信号処理)
鬼沢 直哉, 越田 俊介, 坂本 修一, 阿部 正英, 川又 政征, 羽生 貴弘
電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 116 (95) 29-34 2016年6月16日
出版者・発行元: 電子情報通信学会ISSN: 0913-5685
-
C-12-34 Self-Terminated機構に基づくMTJ書込み回路とその乱数生成器への応用(C-12.集積回路,一般セッション)
鈴木 大輔, 羽生 貴弘
電子情報通信学会総合大会講演論文集 2016 (2) 107-107 2016年3月1日
出版者・発行元: 一般社団法人電子情報通信学会 -
D-6-4 C-RAMベースビット直並列構造VLSIプロセッサの構成(D-6.コンピュータシステムA(高性能ハードウェア),一般セッション)
夕部 直人, 望月 明, 羽生 貴弘
電子情報通信学会総合大会講演論文集 2015 (1) 68-68 2015年2月24日
出版者・発行元: 一般社団法人電子情報通信学会 -
D-10-5 非対称な遷移確率を有するソフトエラーの効率的な欠陥注入法(D-10.ディペンダブルコンピューティング,一般セッション)
根橋 竜介, 崎村 昇, 羽生 貴弘, 杉林 直彦
電子情報通信学会総合大会講演論文集 2015 (1) 156-156 2015年2月24日
出版者・発行元: 一般社団法人電子情報通信学会 -
C-12-27 確率変動緩和機構に基づくMTJベース真性乱数生成器の構成(基盤技術,C-12.集積回路,一般セッション)
大澤 悟史, 小西 貴之, 鬼沢 直哉, 羽生 貴弘
電子情報通信学会総合大会講演論文集 2015 (2) 88-88 2015年2月24日
出版者・発行元: 一般社団法人電子情報通信学会 -
C-12-15 ロジックインメモリベース不揮発FPGA用電源制御モジュールの設計(電源,C-12.集積回路,一般セッション)
鈴木 大輔, 田畑 佑樹, 羽生 貴弘
電子情報通信学会総合大会講演論文集 2015 (2) 76-76 2015年2月24日
出版者・発行元: 一般社団法人電子情報通信学会 -
招待講演 待機電力重視アプリケーション向け90nm三端子MRAM混載不揮発マイクロコントローラ (集積回路)
崎村 昇, 辻 幸秀, 根橋 竜介, 本庄 弘明, 森岡 あゆ香, 石原 邦彦, 木下 啓藏, 深見 俊輔, 三浦 貞彦, 笠井 直記, 遠藤 哲郎, 大野 英男, 羽生 貴弘, 杉林 直彦
電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 114 (175) 39-44 2014年8月4日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
ストカスティック演算に基づく高信頼論理集積回路の構成に関する一検討 (ディペンダブルコンピューティング)
片桐 大作, 鬼沢 直哉, 羽生 貴弘
電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 114 (22) 27-31 2014年4月25日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
Sparse clustered networksに基づく低電力IP lookup処理用LSI実現に関する研究 (回路とシステム)
鬼沢 直哉, Gross Warren, 羽生 貴弘
電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 113 (463) 193-198 2014年3月6日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
AT-1-3 MTJ素子を用いた不揮発ロジックインメモリLSIの展望(AT-1.超低消費電力システムを実現する不揮発メモリの基本と動向,チュートリアルセッション,ソサイエティ企画)
羽生 貴弘, 松永 翔雲, 鈴木 大輔, 望月 明, 夏井 雅典
電子情報通信学会総合大会講演論文集 2014 "SS-16" 2014年3月4日
出版者・発行元: 一般社団法人電子情報通信学会 -
C-12-48 ばらつき耐性を有するコンパクト・低電力不揮発TCAMの構成(メモリ・素子特性,C-12.集積回路,一般セッション)
松永 翔雲, 望月 明, 羽生 貴弘
電子情報通信学会総合大会講演論文集 2014 (2) 112-112 2014年3月4日
出版者・発行元: 一般社団法人電子情報通信学会 -
符号化技術に基づく不揮発LSIの低電力化に関する検討
阿久津赳明, 夏井雅典, 羽生貴弘
電気関係学会東北支部連合大会講演論文集(CD-ROM) 2014 2014年
-
MTJ素子を用いた不揮発FPGAの電力効率最適化手法 (集積回路 デザインガイア2013 : VLSI設計の新しい大地)
鈴木 大輔, 夏井 雅典, 望月 明, 羽生 貴弘
電子情報通信学会技術研究報告 = IEICE technical report : 信学技報 113 (323) 49-53 2013年11月27日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
依頼講演 32ビット細粒度パワーゲーティングを使った不揮発性混載用1Mb 4T2MTJ STT-RAM : 1.0ns/200psのWake-up/Power-off時間を達成 (集積回路)
遠藤 哲郎, 大澤 隆, 小池 洋紀, 三浦 貞彦, 本庄 弘明, 徳留 圭一, 池田 正二, 羽生 貴弘, 大野 英男
電子情報通信学会技術研究報告 : 信学技報 113 (1) 27-32 2013年4月11日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
シリコン不揮発性メモリ技術の限界を突破するスピントルク注入型磁気メモリの最新動向
遠藤哲郎, 大澤隆, 小池洋紀, 羽生貴弘, 笠井直記, 大野英男
電子情報通信学会誌 95 (平成24年11月号) 986-991 2012年11月1日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5693
-
STT-MRAM for future high performance Nonvolatile memory
遠藤哲郎, 大澤隆, 小池洋紀, 羽生貴弘, 笠井直記, 大野英男
電子情報通信学会誌 (平成24年11月号) 2012年11月1日
-
MTJベース完全並列形不揮発TCAMの設計
松永 翔雲, 羽生 貴弘
電子情報通信学会技術研究報告. ICD, 集積回路 112 (15) 43-48 2012年4月16日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
C-12-7 不揮発論理ゲートに基づく細粒度パイプライン回路の構成(C-12.集積回路,一般セッション)
松永 翔雲, 羽生 貴弘
電子情報通信学会総合大会講演論文集 2012 (2) 79-79 2012年3月6日
出版者・発行元: 一般社団法人電子情報通信学会 -
MTJ素子を用いた高密度・低電力不揮発Logic Elementの構成 (集積回路・集積回路とアーキテクチャの協創 : ノーマリオフコンピューティングによる低消費電力化への挑戦)
鈴木 大輔, 羽生 貴弘
電子情報通信学会技術研究報告 : 信学技報 111 (388) 15-19 2012年1月19日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
MTJ素子を用いた高密度・低電力不揮発Logic Elementの構成
鈴木 大輔, 羽生 貴弘
研究報告計算機アーキテクチャ(ARC) 2012 (3) 1-5 2012年1月12日
-
スピンを用いた不揮発ロジックの展望
羽生 貴弘, 夏井 雅典
技術総合誌 OHM 99 (1) 28-30 2012年1月
出版者・発行元: オーム社ISSN: 0386-5576
-
制御回路共有化に基づく非同期細粒度パワーゲーティング手法とその応用 (VLSI設計技術)
河野 宇朗, 鬼沢 直哉, 松本 敦, 羽生 貴弘
電子情報通信学会技術研究報告 : 信学技報 111 (324) 215-220 2011年11月28日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
制御回路共有化に基づく非同期細粒度パワーゲーティング手法とその応用 (ディペンダブルコンピューティング)
河野 宇朗, 鬼沢 直哉, 松本 敦, 羽生 貴弘
電子情報通信学会技術研究報告 : 信学技報 111 (325) 215-220 2011年11月28日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
故障検出機能を有する2色符号とその非同期双方向リンクへの応用 (ディペンダブルコンピューティング)
松本 敦, 鬼沢 直哉, 羽生 貴弘
電子情報通信学会技術研究報告 : 信学技報 111 (325) 37-42 2011年11月28日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
制御回路共有化に基づく非同期細粒度パワーゲーティング手法とその応用
河野 宇朗, 鬼沢 直哉, 松本 敦, 羽生 貴弘
研究報告システムLSI設計技術(SLDM) 2011 (38) 1-6 2011年11月21日
-
MTJ素子を用いた完全並列形高密度不揮発TCAMの構成 (シリコン材料・デバイス)
勝俣 翠, 松永 翔雲, 羽生 貴弘
電子情報通信学会技術研究報告 : 信学技報 111 (281) 63-68 2011年11月10日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
MTJ素子に基づく不揮発性ロジックインメモリVLSIアーキテクチャの展望
羽生 貴弘
まぐね/Magnetics Jpn. 6 (1) 23-28 2011年1月
-
C-12-10 非同期式チップ間リンク速度の定量的評価手法(センサ・有線通信,C-12.集積回路,一般セッション)
鬼沢 直哉, 羽生 貴弘
電子情報通信学会ソサイエティ大会講演論文集 2010 (2) 71-71 2010年8月31日
出版者・発行元: 一般社団法人電子情報通信学会 -
C-007 多値1色符号に基づく非同期通信方式とそのネットワークオンチップへの応用(C分野:ハードウェア・アーキテクチャ,一般論文)
松本 敦, 鬼沢 直哉, 羽生 貴弘
情報科学技術フォーラム講演論文集 9 (1) 385-386 2010年8月20日
出版者・発行元: FIT(電子情報通信学会・情報処理学会)運営委員会 -
リンク故障リカバリ機能を有する多値非同期転送方式
松本 敦, 鬼沢 直哉, 羽生 貴弘
電子情報通信学会技術研究報告. DC, ディペンダブルコンピューティング : IEICE technical report 110 (168) 7-11 2010年7月28日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
依頼講演 Fabrication of a nonvolatile lookup-table circuit chip using magneto/semiconductor-hybrid structure for an immediate-power-up field programmable gate array (集積回路)
鈴木 大輔, 夏井 雅典, 池田 正二, 長谷川 晴弘, 三浦 勝哉, 早川 純, 遠藤 哲郎, 大野 英男, 羽生 貴弘
電子情報通信学会技術研究報告. ICD, 集積回路 110 (9) 47-52 2010年4月15日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
リアクティブ遅延モデルに基づく高精度非同期ネットワークオンチップシミュレーション手法
船崎 智義, 鬼沢 直哉, 松本 敦, 羽生 貴弘
電子情報通信学会技術研究報告. DC, ディペンダブルコンピューティング : IEICE technical report 110 (3) 9-14 2010年4月6日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
A-1-44 Stochastic演算に基づく完全並列型LDPCデコーダの構成(A-1.回路とシステム,一般セッション)
鬼沢 直哉, 羽生 貴弘
電子情報通信学会総合大会講演論文集 2010 44-44 2010年3月2日
出版者・発行元: 一般社団法人電子情報通信学会 -
C-12-70 不揮発性ルックアップテーブル回路とその高機能化(C-12.集積回路,一般セッション)
鈴木 大輔, 羽生 貴弘
電子情報通信学会総合大会講演論文集 2010 (2) 147-147 2010年3月2日
出版者・発行元: 一般社団法人電子情報通信学会 -
C-12-37 MTJ素子を用いた高密度不揮発性2値CAMの構成(C-12.集積回路,一般セッション)
松永 翔雲, 羽生 貴弘
電子情報通信学会総合大会講演論文集 2010 (2) 114-114 2010年3月2日
出版者・発行元: 一般社団法人電子情報通信学会 -
MTJ素子を用いた不揮発性論理回路とその応用
羽生貴弘, 松永翔雲, 夏井雅典
応用物理学関係連合講演会講演予稿集(CD-ROM) 57th 2010年
-
完全並列形不揮発TCAM向けワード回路の構成
勝俣翠, 松永翔雲, 松永翔雲, 夏井雅典, 夏井雅典, 羽生貴弘, 羽生貴弘
電気関係学会東北支部連合大会講演論文集 2010 2010年
-
C-036 非同期式ネットワークオンチップの回路レベル検証技術の構築(ハードウェア・アーキテクチャ,一般論文)
松本 敦, 船崎 智義, 鬼沢 直哉, 羽生 貴弘
情報科学技術フォーラム講演論文集 8 (1) 519-520 2009年8月20日
出版者・発行元: FIT(電子情報通信学会・情報処理学会)運営委員会 -
高信頼オンチップ非同期データ転送技術に関する一検討
鬼沢直哉, 松本敦, 羽生貴弘, 米田友洋
電子情報通信学会技術研究報告 DC-2009 (18) 1-6 2009年8月
-
Beyond CMOS におけるシリコンテクノロジーのインパクト
遠藤 哲郎, 羽生 貴弘
電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 109 (133) 73-78 2009年7月9日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
二次元LUTを用いた電流モード多値回路向け高速・高精度動作検証手法の一考察
有光貴志, 夏井雅典, 羽生貴弘
電気関係学会東北支部連合大会講演論文集 2009 2009年
-
非同期式回路に基づく耐劣化故障性実現に関する考察
米田友洋, 松本敦, 今井雅, 羽生貴弘, 中村祐一
電子情報通信学会技術研究報告 DC-2008 (10) 55-60 2008年4月
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
トンネル磁気抵抗デバイスによる論理集積回路-不揮発性が拓く次世代ロジックLSIパラダイム-
羽生貴弘
応用物理 76 (12) 1388-1393 2007年12月
出版者・発行元: 応用物理学会ISSN: 0369-8009
-
非同期式回路のFPGA実現とその評価
松本敦, 米田友洋, 羽生貴弘
電子情報通信学会技術研究報告 DC-2007 (10) 25-30 2007年8月
-
C-12-4 電波モード多値回路の信頼性評価(C-12.集積回路A(設計・テスト・実装技術),一般講演)
高橋 知宏, 羽生 貴弘
電子情報通信学会総合大会講演論文集 2007 (2) 83-83 2007年3月7日
出版者・発行元: 一般社団法人電子情報通信学会 -
C-12-8 多値電流モード非同期データ転送方式に基づくLDPCデコーダLSIの実現(C-12.集積回路B(ディジタル),一般講演)
鬼沢 直哉, 羽生 貴弘
電子情報通信学会総合大会講演論文集 2007 (2) 87-87 2007年3月7日
出版者・発行元: 一般社団法人電子情報通信学会 -
C-12-12 流体解析用格子ガスセルラアレーVLSIのFPGA実現(C-12.集積回路B(ディジタル),一般講演)
鈴木 大輔, 羽生 貴弘
電子情報通信学会総合大会講演論文集 2007 (2) 91-91 2007年3月7日
出版者・発行元: 一般社団法人電子情報通信学会 -
Design of a Low-Power Quaternary Flip-Flop Based on Dynamic Differential Logic
MOCHIZUKI Akira, SHIRAHAMA Hirokatsu, HANYU Takahiro
IEICE transactions on electronics 89 (11) 1591-1597 2006年11月1日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0916-8524
-
Design and Evaluation of a NULL-Convention Circuit Based on Dual-Rail Current-Mode Differential Logic
ONIZAWA Naoya, HANYU Takahiro
IEICE transactions on electronics 89 (11) 1575-1580 2006年11月1日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0916-8524
-
Implementation of a High-Speed Asynchronous Data-Transfer Chip Based on Multiple-Valued Current-Signal Multiplexing
TAKAHASHI Tomohiro, HANYU Takahiro
IEICE transactions on electronics 89 (11) 1598-1604 2006年11月1日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0916-8524
-
多値2線符号化に基づく高性能非同期データ転送VLSI
高橋 知宏, 水澤 一泰, 羽生 貴弘
情報処理学会研究報告システムLSI設計技術(SLDM) 2006 (111) 141-146 2006年10月27日
出版者・発行元: 一般社団法人情報処理学会ISSN: 0919-6072
-
相補形強誘電体ロジックと超並列算術演算VLSIへの応用(回路技術, 信号処理, LSI, 及び一般)
松永 翔雲, 羽生 貴弘
電子情報通信学会技術研究報告. VLD, VLSI設計技術 105 (148) 61-65 2005年6月21日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
TMRロジックに基づくビット並列大小比較CAMの構成と評価(回路技術, 信号処理, LSI, 及び一般)
庄子 耕平, 羽生 貴弘
電子情報通信学会技術研究報告. VLD, VLSI設計技術 105 (148) 55-59 2005年6月21日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
C-12-11 多値差動ロジックに基づく高性能部分積生成回路の構成(C-12. 集積回路B(ディジタル), エレクトロニクス2)
望月 明, 白濱 弘勝, 羽生 貴弘
電子情報通信学会総合大会講演論文集 2005 (2) 74-74 2005年3月7日
出版者・発行元: 一般社団法人電子情報通信学会 -
Multiple-valued logic as a new computing paradigm - A brief survey of Higuchi's research on multiple-valued logic
M Kameyama, T Hanyu, T Aoki
JOURNAL OF MULTIPLE-VALUED LOGIC AND SOFT COMPUTING 11 (5-6) 407-436 2005年
ISSN: 1542-3980
eISSN: 1542-3999
-
Introduction: Special issue to recognize T. Higuchi's contributions to multiple-valued VLSI computing
M Kameyama, T Hanyu, T Aoki
JOURNAL OF MULTIPLE-VALUED LOGIC AND SOFT COMPUTING 11 (5-6) I-II 2005年
ISSN: 1542-3980
-
差動対電流モード多値回路と高速・高信頼算術演算VLSIシステムへの応用
望月 明, 北村 健, 羽生 貴弘
電子情報通信学会技術研究報告. ICD, 集積回路 104 (522) 31-36 2004年12月17日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
Dynamically Function-Programmable Bus Architecture for High-Throughput Intra-Chip Data Transfer
MOCHIZUKI Akira, TAKEUCHI Takashi, HANYU Takahiro
IEICE transactions on electronics 87 (11) 1915-1922 2004年11月1日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0916-8524
-
Differential Operation Oriented Multiple-Valued Encoding and Circuit Realization for Asynchronous Data Transfer
TAKAHASHI Tomohiro, ONIZAWA Naoya, HANYU Takahiro
IEICE transactions on electronics 87 (11) 1928-1934 2004年11月1日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0916-8524
-
相補形TMR/トランジスタネットワークを活用した低消費電力ロジックインメモリVLSI
望月 明, 木村 啓明, 羽生 貴弘
電子情報通信学会技術研究報告. ICD, 集積回路 104 (24) 37-42 2004年4月16日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
Low-Power Multiple-Valued Current-Mode Logic Using Substrate Bias Control
MOCHIZUKI Akira, HANYU Takahiro
IEICE transactions on electronics 87 (4) 582-588 2004年4月1日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0916-8524
-
SC-11-11 基板バイアス制御に基づく低電力多値集積回路の構成(SC-11.新概念VLSI : 先進アーキテクチャ,新回路,デバイス技術)
望月 明, 羽生 貴弘
電子情報通信学会総合大会講演論文集 2004 (2) "S-71"-"S-72" 2004年3月8日
出版者・発行元: 一般社団法人電子情報通信学会 -
SC-11-13 TMR素子を用いた低電力ロジックインメモリ回路技術(SC-11.新概念VLSI : 先進アーキテクチャ,新回路,デバイス技術)
木村 啓明, 伊吹 満, 羽生 貴弘
電子情報通信学会総合大会講演論文集 2004 (2) "S-75"-"S-76" 2004年3月8日
出版者・発行元: 一般社団法人電子情報通信学会 -
SC-11-12 電流モード制御信号多重化に基づく高速非同期データ転送LSIの試作(SC-11.新概念VLSI : 先進アーキテクチャ,新回路,デバイス技術)
高橋 知宏, 羽生 貴弘
電子情報通信学会総合大会講演論文集 2004 (2) "S-73"-"S-74" 2004年3月8日
出版者・発行元: 一般社団法人電子情報通信学会 -
自律分散制御に基づくチップ内高速データ転送方式
竹内 崇, 望月 明, 羽生 貴弘
電子情報通信学会技術研究報告. ICD, 集積回路 103 (648) 33-37 2004年1月30日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
不揮発性デバイスを用いたロジックインメモリVLSIの構成
木村 啓明, 羽生 貴弘, 亀山 充隆
電子情報通信学会技術研究報告. ICD, 集積回路 103 (2) 23-27 2003年4月3日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
強誘電体不揮発性ロジック素子
藤森 敬和, 中村 孝, 高須 秀視, 木村 啓明, 羽生 貴弘, 亀山 充隆
電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス 102 (732) 25-30 2003年3月11日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
強誘電体ロジックインメモリアーキテクチャに基づくシステムLSIの展望
亀山 充隆, 羽生 貴弘, 木村 啓明
電子情報通信学会技術研究報告. ICD, 集積回路 102 (525) 47-52 2002年12月12日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
ソース結合形多値集積回路の高性能化と画像処理VLSIプロセッサへの応用
池 司, 羽生 貴弘, 亀山 充隆
電子情報通信学会技術研究報告. DSP, ディジタル信号処理 102 (400) 45-50 2002年10月18日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
Implementation of a DRAM-Cell-Based Multiple-Valued Logic-in-Memory Circuit
KIMURA Hiromitsu, HANYU Takahiro, KAMEYAMA Michitaka
IEICE transactions on electronics 85 (10) 1814-1823 2002年10月1日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0916-8524
-
強誘電体デバイスに基づくロジックインメモリVLSIの構成
木村 啓明, 羽生 貴弘, 亀山 充隆, 藤森 敬和, 中村 孝, 高須 秀視
電子情報通信学会技術研究報告. ICD, 集積回路 102 (3) 7-12 2002年4月5日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
C-12-21 適応的電源電圧制御に基づく低消費電力VLSIアーキテクチャ
山口 通知, 羽生 貴弘, 亀山 充隆
電子情報通信学会総合大会講演論文集 2002 (2) 103-103 2002年3月7日
出版者・発行元: 一般社団法人電子情報通信学会 -
ソース結合形論理に基づく多値ドミノ集積回路の構成
望月 孝祥, 羽生 貴弘, 亀山 充隆
電子情報通信学会技術研究報告. ICD, 集積回路 101 (386) 61-66 2001年10月19日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
C-12-8 ゲートレベルパイプライン用ロジックインメモリVLSIの構成
木村 哲明, 羽生 貴弘, 亀山 充隆
電子情報通信学会ソサイエティ大会講演論文集 2001 (2) 69-69 2001年8月29日
出版者・発行元: 一般社団法人電子情報通信学会 -
C-12-9 ソース結合形回路を用いた多値ロジックインメモリVLSIの構成
古川 剛志, 羽生 貴弘, 亀山 充隆
電子情報通信学会ソサイエティ大会講演論文集 2001 (2) 70-70 2001年8月29日
出版者・発行元: 一般社団法人電子情報通信学会 -
C-12-15 高性能多値電流モード集積回路の設計
池 司, 羽生 貴弘, 亀山 充隆
電子情報通信学会ソサイエティ大会講演論文集 2001 (2) 76-76 2001年8月29日
出版者・発行元: 一般社団法人電子情報通信学会 -
C-12-20 ダイナミック記憶に基づく多値ロジックインメモリVLSI回路
木村 啓明, 羽生 貴弘, 亀山 充隆, 小池 泰勝
電子情報通信学会総合大会講演論文集 2001 (2) 115-115 2001年3月7日
出版者・発行元: 一般社団法人電子情報通信学会 -
ソース結合形論理に基づく多値集積回路の構成
池司, 羽生 貴弘, 亀山 充隆
2001信学総大, Mar. 114-114 2001年
出版者・発行元: 一般社団法人電子情報通信学会 -
Dynamic-Storage-Based Multiple-Valued Logic-in-Memory Circuit and Its Aplication
Hiromitsu Kimura, Takahiro Hanyu, Michitaka Kameyama
Proc. 2nd Korea-Japan Joint Symposium on Multiple-Valued Logic 147-151 2001年
-
局所演算性に基づく Dynamic-Storage 形 Logic-in-Memory VLSI の構成
木村 啓明, 羽生 貴弘, 亀山 充隆
電子情報通信学会技術研究報告. VLD, VLSI設計技術 100 (473) 53-58 2000年11月23日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
C-12-20 力レントミラーの高速化に基づく2線式多値電流モード集積回路の構成
池 司, 羽生 貴弘, 亀山 充隆
電子情報通信学会ソサイエティ大会講演論文集 2000 (2) 100-100 2000年9月7日
出版者・発行元: 一般社団法人電子情報通信学会 -
セルフチェッキング性を有する2線式電流モード多値集積回路と高性能算術演算VLSIへの応用 (特集 新アーキテクチャLSI技術および一般)
池 司, 羽生 貴弘, 亀山 充隆
電子情報通信学会技術研究報告 100 (30) 17-24 2000年4月28日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
2色2線式電流モード多値非同期VLSIシステムとその応用 (特集 新アーキテクチャLSI技術および一般)
羽生 貴弘, 亀山 充隆
電子情報通信学会技術研究報告 100 (30) 9-15 2000年4月28日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
Self-Checking VLSI System Based on Dual-Rail Multiple-Valued Current-Mode Logic
T. Ike, T. Hanyu, M. Kameyama
Trans. IEICE C J83-C (4) 318-325 2000年
-
Asynchronous Current-Mode Multiple-Valued VLSI System Based on Two-Color Two-Rail Coding
T. Hanyu, M. Kameyama
Trans. IEICE C J83-C (6) 463-470 2000年
-
モジュール間転送時間を考慮したロジックインメモリVLSIシステムのハイレベルシンセシス
堀井 崇史, 羽生 貴弘, 亀山 充隆
全国大会講演論文集 59 3-4 1999年9月28日
出版者・発行元: 情報処理学会 -
2色2線符号化に基づく非同期電流モード多値VLSIシステム
羽生 貴弘, 亀山 充隆
電子情報通信学会技術研究報告. ICD, 集積回路 99 (316) 41-47 1999年9月21日
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0913-5685
-
C-12-26 2色2線符号化に基づく多値非同期VLSIシステムの構成
羽生 貴弘, 亀山 充隆
電子情報通信学会総合大会講演論文集 1999 (2) 124-124 1999年3月8日
出版者・発行元: 一般社団法人電子情報通信学会 -
A-3-5 処理要素間配線数の最小化に着目したロジックインメモリVLSIシステムの高位合成
堀井 崇史, 羽生 貴弘, 亀山 充隆
電子情報通信学会総合大会講演論文集 1999 109-109 1999年3月8日
出版者・発行元: 一般社団法人電子情報通信学会 -
A-3-4 2値論理合成CADを活用した多値VLSIシステムの自動設計
杉山 智宏, 羽生 貴弘, 亀山 充隆
電子情報通信学会総合大会講演論文集 1999 108-108 1999年3月8日
出版者・発行元: 一般社団法人電子情報通信学会 -
フローティングゲートMOSトランジスタを用いた多値ロジックインメモリVLSIの構成
羽生 貴弘, 寺西 要, 亀山 充隆
電子情報通信学会技術研究報告. ICD, 集積回路 98 (66) 1-8 1998年5月22日
出版者・発行元: 一般社団法人電子情報通信学会 -
2線式電流モード多値集積回路を用いた非同期プロセッサの構成
羽生 貴弘, 齋藤 敬弘, 亀山 充隆
電子情報通信学会技術研究報告. VLD, VLSI設計技術 97 (577) 1-8 1998年3月6日
出版者・発行元: 一般社団法人電子情報通信学会 -
Design and evaluation of a digit-parallel multiple-valued content-addressable memory
Takahiro Hanyu, Kaname Teranishi, Michitaka Kameyama
Systems and Computers in Japan 29 (11) 48-54 1998年
出版者・発行元: John Wiley and Sons Inc.DOI: 10.1002/(sici)1520-684x(199810)29:11<48::aid-scj6>3.0.co;2-1
ISSN: 0882-1666
-
ディジットパラレル多値CAMの構成と評価
羽生 貴弘, 寺西 要, 亀山 充隆
電子情報通信学会論文誌. D-1, 情報・システム 1-コンピュータ J81-D-I (2) 151-156 1998年
出版者・発行元: 一般社団法人電子情報通信学会ISSN: 0915-1915
-
Optimal Design of a Current-Mode Deep-SubmicronMultiple-Valued Integrated Circuit and Its Application
T. Saito, T. Hanyu, M. Kameyama
Trans. IEICE D-I J81-D-I (2) 157-164 1998年
-
ワイヤード論理に基づくディジットパラレル多値連想メモリ
羽生 貴弘, 寺西 要, 亀山 充隆
電子情報通信学会総合大会講演論文集 1997 (1) 324-325 1997年3月6日
出版者・発行元: 一般社団法人電子情報通信学会 -
低消費電力電流モード多値集積回路の最適設計
羽生 貴弘, 斎藤 敬弘, 亀山 充隆
電子情報通信学会総合大会講演論文集 1997 (2) 158-158 1997年3月6日
出版者・発行元: 一般社団法人電子情報通信学会 -
画像処理用1トランジスタセル4値ユニバーサルリテラル連想メモリ
羽生 貴弘, 新垣 学, 亀山 充隆
電子情報通信学会ソサイエティ大会講演論文集 1996 (2) 198-198 1996年9月18日
出版者・発行元: 一般社団法人電子情報通信学会 -
電流源制御方式に基づく低電力高性能VLSIシステム
風間 哲, 羽生 貴弘, 亀山 充隆
電子情報通信学会技術研究報告. ED, 電子デバイス 96 (107) 49-56 1996年6月20日
出版者・発行元: 一般社団法人電子情報通信学会 -
1トランジスタセルに基づく多値連想メモリの構成とその応用
羽生 貴弘, 金川 直紀, 亀山 充隆
電子情報通信学会技術研究報告. ICD, 集積回路 96 (65) 31-38 1996年5月24日
出版者・発行元: 一般社団法人電子情報通信学会 -
マルチエミッタ形量子効果トランジスタに基づくEquivalence論理回路網の構成
服部 武直, 羽生 貴弘, 亀山 充隆
電子情報通信学会総合大会講演論文集 1996 (2) 163-163 1996年3月11日
出版者・発行元: 一般社団法人電子情報通信学会 -
高密度ディジットパラレル多値連想メモリの構成
金川 直紀, 羽生 貴弘, 亀山 充隆
電子情報通信学会総合大会講演論文集 1996 (2) 211-211 1996年3月11日
出版者・発行元: 一般社団法人電子情報通信学会 -
多レベルしきい値制御に基づく高密度CAMとその応用
羽生 貴弘, 金川 直紀, 亀山 充隆
電子情報通信学会技術研究報告. ICD, 集積回路 95 (72) 41-48 1995年5月26日
出版者・発行元: 一般社団法人電子情報通信学会 -
1.5Vソース結合形電流モード多値集積回路とその高速パイプライン乗算器への応用
羽生 貴弘, 望月 明, 亀山 充隆
電子情報通信学会技術研究報告. CPSY, コンピュータシステム 95 (20) 33-39 1995年4月27日
出版者・発行元: 一般社団法人電子情報通信学会 -
知能集積システム用スーパーチップアーキテクチャ
亀山 充隆, 羽生 貴弘
電子情報通信学会秋季大会講演論文集 1994 (2) 255-256 1994年9月26日
出版者・発行元: 一般社団法人電子情報通信学会 -
低電圧高速電流モード多値集積回路
望月 明, 羽生 貴弘, 亀山 充隆
電子情報通信学会秋季大会講演論文集 1994 (2) 156-156 1994年9月26日
出版者・発行元: 一般社団法人電子情報通信学会 -
Generalized Hough Transform VLSI Processor for Model-Based Edge Detection
Yusuf Muhammad, Hanyu Takahiro, Kameyama Michitaka
電子情報通信学会秋季大会講演論文集 1994 (2) 163-163 1994年9月26日
出版者・発行元: 一般社団法人電子情報通信学会 -
ソース結合形電流モード多値集積回路とパイプライン乗算器への応用
望月 明, 羽生 貴弘, 亀山 充隆
電子情報通信学会技術研究報告. ICD, 集積回路 94 (175) 23-30 1994年7月25日
出版者・発行元: 一般社団法人電子情報通信学会 -
A Collision Detection Multiprocessor for Intelligent Vehicles Using a High-Density CAM
M. Hariyama, T. Hanyu, M. Kameyama
IEEE Intelligent Vehicles Symp. 143-148 1994年
-
ポストバイナリ知能集積システム
羽生 貴弘, 亀山 充隆
電子情報通信学会技術研究報告. ICD, 集積回路 93 (231) 1-8 1993年9月17日
出版者・発行元: 一般社団法人電子情報通信学会 -
Design of a Quaternary Gate Array for High-Speed Pattern Matching
T. Hanyu, M. Kameyama, T. Higuchi
Trans. of IEICE J70-D (2) 493-496 1987年
-
Design and Implimentation of an nMOS Image Processor Based on Quaternary Logic
T. Hanyu, M. Kameyama, T. Higuchi
Trans. of IECE J69-D (5) 667-678 1986年
書籍等出版物 4
-
Introduction to Magnetic Random-Access Memory
Takahiro Hanyu, Tetsuo Endoh, Shoji Ikeda, Tadahiko Sugibayashi, Naoki Kasai, Daisuke Suzuki, Masanori Natsui, Hiroki Koike, Hideo Ohno
Wiley-IEEE Press 2016年12月
ISBN: 9781119009740
-
Spintronics-based Computing
T. Hanyu
Springer 2015年
ISBN: 9783319151793
-
VLSI 2010 Annual Symposium: Selected Papers (Lecture Notes in Electrical Engineering)
N. Onizawa, F. Funazaki, A. Matsumoto, T. Hanyu
Springer-Verlag 2011年9月7日
ISBN: 9400714874
-
半導体ストレージ2012
羽生貴弘, 池田正二, 杉林直彦, 笠井直紀, 遠藤哲郎, 大野英男
日経BP社 2011年7月29日
ISBN: 9784822265588
講演・口頭発表等 151
-
不揮発ロジックが拓くエッジAIコンピューティングの展望 招待有り
羽生貴弘
会津大学 学際研究フォーラム 2024 2024年11月25日
-
差分情報記憶に基づく低エネルギー不揮発レジスタの構成
吉田知生, 夏井雅典, 羽生貴弘
電子情報通信学会集積回路研究会 2024年11月12日
-
ユニタリ重み表現ベース不揮発ニューラルネットワークのエラー耐性評価
夏井雅典, 羽生貴弘
2024年電子情報通信学会ソサイエティ大会 2024年9月13日
-
Few-Shot Learningに基づくエッジAIハードウェアの設計環境の構築に関する基礎的研究
神田凌輔, 鬼沢直哉, 羽生貴弘
2024年度電気関係学会東北支部連合大会 2024年8月29日
-
間欠的コンピューティングの実現に向けたMTJ ベース省エネルギー・コンパクト不揮発レジスタの構成
吉田 知生, 夏井 雅典, 羽生 貴弘
電子情報通信学会集積回路研究会「LSIとシステムのワークショップ2024」 2024年5月9日
-
エッジAI応用向けMTJベース不揮発ハードウェアの挑戦 招待有り
羽生貴弘
16th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC-2023) 2023年12月19日
-
PYNQを用いたシミュレーテッド量子アニーリングの確率論的実装技術
口分田大芽, シントッキュ, 鬼沢直哉, 羽生 貴弘
The 22nd International Conference on Field-Programmable Technology (FPT 2023), demo night 2023年12月12日
-
エッジAI応用向けスピントロニクスベース不揮発ハードウェアのインパクト 招待有り
羽生貴弘, 鬼沢直哉, 鈴木大介, 夏井雅典
International Conference on Solid-State Materials and Devices (SSDM 2023) 2023年9月8日
-
高位合成を用いた不揮発AI アクセラレータの高効率設計に関する基礎的研究
渡邉颯音, 夏井雅典, 羽生貴弘
2023年度電気関係学会東北支部連合大会 2023年9月6日
-
不揮発性ロジックで拓くエッジAIハードウェアへの挑戦 招待有り
羽生貴弘
DAシンポジウム 2023年9月1日
-
書込みエラー特性に基づくMTJベース不揮発レジタの制御部最適化に関する一検討
酒井 楓, 夏井 楓, 夏井 雅典, 羽生 雅典, 羽生 貴弘
2022年度電子情報通信学会ICD/CAS学生・若手研究会 2022年12月18日
-
MTJ ベース量子化ニューラルネットワークハードウェアの書込みエネルギー削減手法に関する研究
浅野健, 夏井雅典, 羽生貴弘
2022年度電子情報通信学会ICD/CAS学生・若手研究会 2022年12月18日
-
大規模完全グラフ最適化問題解法向けStochastic Simulated Annealingアーキテクチャに関する一考察
口分田大芽, シントッキュ, 鬼沢直哉, 羽生 貴弘
2022年度I電子情報通信学会CD/CAS学生・若手研究会 2022年12月18日
-
ストカスティック演算に基づく高速かつ大規模スピンアニーリングハードウェアのFPGA実装
シントッキュ, 鬼沢直哉, 羽生 貴弘
電子情報通信学会ソサエティ大会 2022年9月7日
-
ビットエラー耐性を活用した省エネルギーニューラルネットワークの構成に関する基礎的考察
浅野健, 佐々木優里, 夏井雅典, 羽生貴弘
2022年度電気関係学会東北支部連合大会 2022年8月23日
-
大規模SC-SA法の高速求解向けFPGA実装と評価
口分田大芽, シントッキュ, 鬼沢直哉, 羽生貴弘
2022年度電気関係学会東北支部連合大会 2022年8月23日
-
Stochastic 演算に基づくQMC 法によるアニーリング処理の高速化
佐々木遼真, 鬼沢直哉, 羽生貴弘
2022年度電気関係学会東北支部連合大会 2022年8月23日
-
書込みエラー検出機能を有する高信頼不揮発レジスタの構成
酒井楓, 夏井雅典, 羽生貴弘
2022年度電気関係学会東北支部連合大会 2022年8月
-
アクセラレータ制御命令を組み込んだRISC-Vベース省エネルギー不揮発CPUの構成 招待有り
坂本佳介, 夏井雅典, 羽生貴弘
電子情報通信学会集積回路研究会「LSIとシステムのワークショップ」 2022年5月9日
-
不揮発 LSI 向け可変パワーゲーティングスイッチ構造とその動的制御に関する研究
鐘方岑, 夏井雅典, 羽生貴弘
電子情報通信学会集積回路研究会:学生・若手研究会 2022年3月19日
-
スピントロニクス素子ベース不揮発FPGA:超低消費電力再構成可能ハードウェアプラットフォームへの挑戦
鈴木大輔, 夏井 雅典, 羽生貴弘
2022年電子情報通信学会総合大会 2022年3月18日
-
MTJベース不揮発ロジックインメモリ回路の展望とそのAIハードウェアへの応用 招待有り
羽生貴弘
The 3rd International Symposium on AI and Electronics 2022年2月15日
-
MTJベース不揮発ロジックインメモリ回路の挑戦と応用
羽生貴弘
Joint Seminar of BRAIN INSPIRED COMPUTING, PHYSICS, ARCHITECTURES, MATERIALS AND APPLICATIONS (BICPAMA) 2021年12月8日
-
動作環境適応型パワーゲーティングスイッチ制御技術とその不揮発ロジック LSI への応用
鐘方岑, 夏井雅典, 羽生貴弘
デザインガイア2021 2021年12月2日
-
MTJベース不揮発ロジックインメモリ回路の挑戦と応用 招待有り
羽生貴弘
16th IEEE/ACM International Symposium on Nanoscale Architectures 2021年11月10日
-
Integral Stochastic 演算に基づくSimulated Annealing法の高速化
勝木康太, シントッキュ, 鬼沢直哉, 羽生貴弘
2021年度電気関係学会東北支部連合大会 2021年8月26日
-
パワーゲーティング機能付き不揮発RISC-V CPUの基礎検討
坂本佳介, 夏井雅典, 羽生貴弘
2021年度電気関係学会東北支部連合大会 2021年8月26日
-
不揮発性ロジックが拓くエッジAIハードウェア・パラダイムの展望 招待有り
羽生貴弘
LSIとシステムのワークショップ2021 2021年5月10日
-
大規模CMOSインバーティブルロジック回路実現向け設計自動化ツールの構築
加藤諒, 鬼沢直哉, 羽生 貴弘
電子情報通信学会・第34回「多値論理とその応用」第2種研究会 2021年1月9日
-
不揮発 FPGAベース Binarized-Convolutional Neural Networkアクセラレータのための LUTシフト機能の検討
鈴木 大輔, 羽生 貴弘
第30回日本神経回路学会全国大会 2020年12月4日
-
不揮発ロジックLSIのパワーゲーティングスイッチ制御技術に関する一検討
鐘方岑, 夏井雅典, 羽生貴弘
デザインガイア2020 2020年11月17日
-
省エネルギー二値化ニューラルネットワークのための不揮発性多値回路技術のインパクト 招待有り
羽生貴弘
ENGE 2020(The 6th International Conference on Electronnic Materials and Nanotechnology for Green Environment) 2020年11月1日
-
不揮発性ロジックが拓く脳型コンピューティングの挑戦 招待有り
羽生貴弘
第7回電子デバイスフォーラム京都 2020年10月30日
-
エッジAI応用へ向けた不揮発ロジックLSIの挑戦 招待有り
羽生貴弘
U. of Aizu Research Cluster Forum 2020年10月24日
-
大規模インバーティブルロジック回路実現へ向けた設計自動化手法
加藤諒, 鬼沢直哉, 羽生貴弘
2020年度電気関係学会東北支部連合大会 2020年8月27日
-
スピントロニクスベース 不揮発FPGAとその展望
鈴木大輔, 羽生貴弘
Spin-RNJ若手オンライン研究発表会 2020年6月3日
-
省エネルギー二値化ニューラルネットワーク向けMTJベース積和演算回路の構成
千葉智貴, 夏井雅典, 羽生貴弘
電子情報通信学会集積回路研究会 2019年11月14日
-
CMOSインバーティブルロジックを用いた確率的学習アルゴリズム
シントッキュ, 鬼沢直哉, 羽生貴弘
第34回信号処理シンポジウム 2019年11月14日
-
MTJベース高性能真性乱数生成器の構成
玉越晃, 鬼沢直哉, 山形仁, 藤田博之, 羽生貴弘
第42回多値論理フォーラム 2019年9月15日
-
Approximate Computing応用向け高性能マルチコンテキストTCAMの構成
荒川怜, 鬼沢直哉, 羽生貴弘
2019年度電気関係学会東北支部連合大会 2019年8月23日
-
CMOSインバーティブルロジックに基づく高速学習ハードウェアの実装
シントッキュ, 鬼沢直哉, 羽生貴弘
2019年度電気関係学会東北支部連合大会 2019年8月23日
-
非相補抵抗状態検出機能を有する高信頼MTJベース不揮発性フリップフロップの構成
山岸源征, 夏井雅典, 羽生貴弘
2019年度電気関係学会東北支部連合大会 2019年8月23日
-
MTJ 素子を用いた不揮発Logic-In-Memory ベースFracturable LUT 回路の構成
鈴木大輔, 羽生貴弘
2019年電子情報通信学会総合大会 2019年3月21日
-
MTJベースばらつき補正機能を用いた2値化ニューラルネットワーク向け低消費電力・省面積bitcount回路の構成
千葉智貴, 夏井雅典, 羽生貴弘
電子情報通信学会・第32回「多値論理とその応用」第2種研究会 2019年1月13日
-
MTJベース不揮発FPGAの最新動向
鈴木大輔, 羽生貴弘
CSRN-Osaka Annual Workshop 2018年12月15日
-
ポストCMOS回路技術が拓くAIハードウェアの挑戦 招待有り
羽生貴弘
2018年デザインガイア 2018年12月5日
-
ポストCMOS回路技術が拓くAIハードウェアパラダイム 招待有り
羽生貴弘
情報処理学会 連続セミナー2018「超スマート社会を切り拓く技術トレンドを探る」 2018年11月27日
-
ストカスティック演算に基づくインバーティブルロジック回路の構成
西野海斗, 鬼沢直哉, 羽生貴弘
2018年電子情報通信学会ソサイエティ大会 2018年9月14日
-
不揮発量子化ニューラルネットワーク構成に基づく小型・超低消費電力 XNOR回路の構成
千葉智貴, 夏井雅典, 羽生貴弘
平成30年度電気関係学会東北支部連合大会 2018年9月7日
-
書込み回数最小化に基づく省エネルギー不揮発 Lookup Table回路の構成
岡 貴弘, 鈴木 大輔, 羽生 貴弘
平成30年度電気関係学会東北支部連合大会 2018年9月7日
-
MTJ ベース多機能不揮発Lookup Table 回路の設計
鈴木大輔, 岡貴弘, 羽生貴弘
電子情報通信学会リコンフィギュラブル研究会 2018年5月25日
-
MTJ素子を用いた高度演算機能を有する不揮発LUT回路の構成
鈴木大輔, 羽生貴弘
2018電子情報通信学会総合大会 2018年3月23日
-
不揮発FPGAを用いた脳型情報処理アクセラレータの構成
鈴木大輔, 羽生貴弘
信学会第2種研究会「多値論理とその応用」 2018年1月6日
-
脳型計算に基づく非シグネチャ不正侵入検出手法
須田拓樹, 夏井雅典, 羽生貴弘
信学会第2種研究会「多値論理とその応用」 2018年1月6日
-
複数個の電圧電流変換特性を用いた低電力MTJベース真性乱数生成器の設計
向田渉吾, 鬼沢直哉, 羽生貴弘
信学会第2種研究会「多値論理とその応用」 2018年1月6日
-
Contextual Cueing Model に基づく実時間画像認識プリプロセッサの検討
西野海斗, 鬼沢直哉, 袁正雄, 松宮一道, 塩入諭, 羽生貴弘
信学会第2種研究会「多値論理とその応用」 2018年1月6日
-
時系列特徴を用いたチップ内データ転送エラー訂正手法とその可能性
加藤健太郎, 夏井雅典, 羽生貴弘
デザインガイア2017 2017年11月6日
-
時系列特徴を用いた脳型計算ベース車載ネットワークセキュリティ技術
夏井雅典, 須田拓樹, 羽生貴弘
第40回多値論理フォーラム 2017年9月16日
-
MTJベース多機能Lookup Table 回路の設計
鈴木大輔, 羽生貴弘
第40回多値論理フォーラム 2017年9月16日
-
ストカスティック演算に基づく省面積・省エネルギー脳型LSI実現
鬼沢直哉, 松宮一道, 羽生貴弘
IEICEソサイエティ大会 2017年9月12日
-
視覚的注意計算モデルのハードウェア実装に向けた基礎的考察
西野海斗, 鬼沢直哉, 松宮一道, 塩入諭, 羽生貴弘
平成29年度 電気関係学会東北支部連合大会 2017年8月24日
-
脳型計算に基づく車載ネットワークの不正侵入検出法
須田拓樹, 夏井雅典, 羽生貴弘
平成29年度 電気関係学会東北支部連合大会 2017年8月24日
-
ストカスティック演算に基づく省エネルギー脳型LSI実現の展望
鬼沢 直哉, 松宮 一道, 羽生 貴弘
第30回回路とシステムのワークショップ 2017年5月11日
-
ストカスティック演算に基づくFIRフィルタの振幅特性測定
鎌田 裕成, 越田 俊介, 鬼沢 直哉, 阿部 正英, 羽生 貴弘, 川又 政征
第30回回路とシステムのワークショップ 2017年5月11日
-
Technologies to automatically design environments for low energy consumption and highly functional VLSI processors based on non-volatile memory 国際会議
Takahiro Hanyu
3rd CIES Technology Forum 2017年3月22日
-
不揮発FPGAを用いた脳型情報処理アクセラレータ
鈴木大輔, 羽生貴弘
2017電子情報通信学会総合大会 2017年3月22日
-
ストカスティック演算に基づくディジタルフィルタにおける周波数振幅特性の測定法に関する一検討
鎌田 裕成, 越田 俊介, 鬼沢 直哉, 阿部 正英, 羽生 貴弘, 川又 政征
電気学会制御研究会 2017年3月13日
-
Challenge of a Nonvolatile FPGA for a Brainware LSI Platform 国際会議
Daisuke Suzuki, Takahiro Hanyu
The 4th International Symposium on Brainware LSI 2017年2月22日
-
Brain-Inspired Computing for Error-Resilient VLSI System 国際会議
Masanori Natsui, Takahiro Hanyu
The 4th International Symposium on Brainware LSI 2017年2月22日
-
Stochastic Computation for Deep Neural Networks 国際会議
Naoya Onizawa, Takahiro Hanyu
The 4th International Symposium on Brainware LSI 2017年2月22日
-
不揮発マイコン向け高速・低電力アナログ/ディジタル変換器の構成
玉越晃, 夏井雅典, 羽生貴弘
デザインガイア2016 2016年11月28日
-
ストカスティック演算に基づく省エネルギーガンマトーンフィルタのハードウェア実現
鬼沢直哉, 越田俊介, 坂本修一, 阿部正英, 川又政征, 羽生貴弘
第31回信号処理シンポジウム 2016年11月8日
-
stochastic演算による脳型LSI実現とその多値化の可能性
鬼沢直哉, 羽生貴弘
第39回多値論理フォーラム 2016年9月11日
-
MTJベース不揮発FPGAの技術トレンドとその将来展望
鈴木大輔, 羽生貴弘
第39回多値論理フォーラム 2016年9月11日
-
脳型LSIを拓く集積回路・アーキテクチャの展望
羽生貴弘
学振165委員会VLSI夏の学校2016 2016年8月25日
-
Challenge of Spintronics-Based Nonvolatile Logic-in-Memory VLSI Architecture towards the IoE Era 国際会議
Takahiro Hanyu
2016 Spintronics Workshop on LSI 2016年6月20日
-
Challenge of MOS/MTJ-Hybrid Nonvolatile VLSI Processor for IoE Applications 国際会議
Takahiro Hanyu
VLSI circuit symposium 2016 2016年6月20日
-
Challenge of MOS/MTJ-Hybrid Nonvolatile VLSI Processor for IoE Applications 国際会議
Takahiro Hanyu
VLSI Technology Short Course 2016 2016年6月20日
-
ストカスティック演算に基づくガンマトーンフィルタのハードウェア実現
鬼沢直哉, 越田俊介, 坂本修一, 阿部正英, 川又政征, 羽生貴弘
信学会・CAS研究会 2016年6月16日
-
Design Automation of a Power Aware Nonvolatile FPGA 国際会議
Yuki Tabata, Daisuke Suzuki, Takahiro Hanyu
ULSI Workshop 2016 2016年5月17日
-
Self-Terminated 機構に基づくMTJ 書込み回路とその乱数生成器への応用
鈴木大輔, 羽生貴弘
信学会・総合大会 2016年3月15日
-
リカレントニューラルネットワークを用いた高性能誤り訂正符号技術
菅谷直登, 夏井雅典, 羽生貴弘
第29回多値論理とその応用研究会 2016年1月9日
-
MTJ ベース不揮発FPGA の自動設計環境
田畑佑樹, 鈴木大輔, 羽生貴弘
第29回多値論理とその応用研究会 2016年1月9日
-
Clocked-CMOS構造不揮発ロジックに基づく高性能Logic Element 回路の設計
鈴木大輔, 田畑祐樹, 羽生貴弘
第29回多値論理とその応用研究会 2016年1月9日
-
リカレントニューラルネットワークを用いた高性能誤り訂正符号技術
菅谷直登, 夏井雅典, 羽生貴弘
第29回「多値論理とその応用」第2種研究会 2016年1月9日
-
MTJ ベース不揮発FPGA の自動設計環境
田畑佑樹, 鈴木大輔, 羽生貴弘
第29回「多値論理とその応用」第2種研究会 2016年1月9日
-
Clocked-CMOS構造不揮発ロジックに基づく高性能Logic Element 回路の設計
鈴木大輔, 田畑祐樹, 羽生貴弘
第29回「多値論理とその応用」第2種研究会 2016年1月9日
-
ストカスティック論理に基づくガボールフィルタの構成とその高並列特徴抽出ハードウェアへの展開に関する研究
片桐大作, 鬼沢直哉, 松宮一道, グロス ウォーレン, 羽生貴
NC研究会 2015年11月20日
-
MTJ素子を活用した高性能・高信頼VLSI設計技術
夏井雅典, 鈴木大輔, 池田正二, 遠藤哲郎, 大野英男, 羽生貴弘
応用物理学会スピントロニクス研究会・日本磁気学会スピンエレクトロニクス専門研究会・日本磁気学会ナノマグネティックス専門研究会共同主催研究会 2015年11月12日
-
ストカスティック演算に基づくFIRフィルタの性能評価
越田俊介, 鬼沢直哉, 阿部正英, 羽生貴弘, 川又政征
第30回信号処理シンポジウム 2015年11月4日
-
リカレントニューラルネットワークに基づく高効率データ転送技術
夏井雅典, 菅谷直登, 羽生貴弘
第38回多値論理フォーラム 2015年9月12日
-
デジタル制御型CMOS/MTJハイブリッド回路構造に基づく高ランダムネス真性乱数生成器の構成
大澤悟史, 鬼沢直哉, 羽生貴弘
第38回多値論理フォーラム 2015年9月12日
-
MTJ ベース不揮発ロジックLSI における電源スイッチ構造の最適化設計
田畑佑樹, 鈴木大輔, 羽生貴弘
平成27年度電気関係学会東北支部連合大会 2015年8月27日
-
リカレントニューラルネットワークに基づく時系列データ誤り訂正技術とその応用
菅谷 直登, 夏井 雅典, 羽生 貴弘
平成27年度電気関係学会東北支部連合大会 2015年8月27日
-
不揮発ロジックインメモリアーキテクチャとその低電力VLSIシステムへの応用
羽生貴弘, 鈴木大輔, 望月明, 夏井雅典, 鬼沢直哉, 杉林直彦, 池田正二, 遠藤哲郎, 大野英男
信学会ICD研究会 2015年4月16日
-
確率変動緩和機構に基づくMTJベース真性乱数生成器の構成 国際会議
大澤悟史, 小西貴之, 鬼沢直哉, 羽生貴弘
2015年電子情報通信学会総合大会 2015年3月10日
-
C-RAMベースビット直並列構造VLSIプロセッサの構成 国際会議
夕部 直人, 望月 明, 羽生 貴弘
2015年電子情報通信学会総合大会 2015年3月10日
-
非対称な遷移確率を有するソフトエラーの効率的な欠陥注入法
根橋竜介, 崎村昇, 羽生貴弘, 杉林直彦
2015年電子情報通信学会総合大会 2015年3月10日
-
ロジックインメモリベース不揮発FPGA用電源制御モジュールの設計
鈴木大輔, 羽生貴弘
2015年電子情報通信学会総合大会 2015年3月10日
-
ストカスティック演算に基づく高信頼低消費電力画像処理プロセッサの構成
片桐 大作, 鬼沢 直哉, 羽生 貴弘
第28回多値論理とその応用研究会 2015年1月10日
-
符号化技術を活用した低消費電力不揮発LSIの構成と評価
阿久津赳明, 夏井雅典, 羽生貴弘
第28回多値論理とその応用研究会 2015年1月10日
-
A Nonvolatile FPGA Using MTJ-Based Logic-in-Memory Structure for Ultra Low-Power Reconfigurable Systems 国際会議
D. Suzuki, T. Hanyu
Int. Workshop on Electronics and Communications 2014年10月27日
-
Design of a Time-Mode-Oriented Sensor Interface Using Pulse-Width-Modulated Signals 国際会議
T. Konishi, T. Hanyu
Int. Workshop on Electronics and Communications 2014年10月27日
-
Analog-to-Stochastic Converter Using MTJ Devicesfor Highly Reliable Vision Chips
Naoya ONIZAWA, Daisaku KATAGIRI, Warren J. GROSS, Takahiro HANYU
多値論理研究ノート 2014年9月13日
-
MTJベース不揮発ロジックLSI向け符号化方式とその評価,
夏井雅典, 阿久津赳明, 羽生貴弘
多値論理研究ノート 2014年9月13日
-
符号化 技術 に基づく不揮発LSIの低電力化に関する検討
阿久津 赳明, 夏井雅典, 羽生 貴弘
平成26年度電気関係学会東北支部連合大会講演論文集, 2014年8月
-
ストカスティック演算に基づく画像処理プロセッサのソフトエラー耐性の評価
片桐大作, 鬼沢直哉, 羽生貴弘
平成26年度電気関係学会東北支部連合大会講演論文集, 2014年8月
-
Challenge of Nonvolatile TCAM Design Automation 国際会議
A.Mochizuki, M. Natsui, N. Sakimura, T. Sugibayashi, T. Hanyu
23rd International Workshop on Post-Binary ULSI Systems 2014年5月
-
全文検索システム向け階層的パワーゲーティングを活用した低エネルギー不揮発TCAMエンジンチップ
松永翔雲, 崎村昇, 根橋竜介, 杉林直彦, 夏井雅典, 望月明, 遠藤哲郎, 大野英男, 羽生貴弘
集積回路研究会 2014年4月
-
ストカスティック演算に基づく高信頼論理集積回路の構成に関する一検討
片桐大作, 鬼沢直哉, 羽生貴弘
DC研究会 2014年4月
-
Sparse clustered networksに基づく低電力IPlookup処理用LSIの実現に関する研究
鬼沢 直哉, Warren Gross, 羽生 貴弘
CS,CAS,SIP研究会 2014年3月6日
-
ばらつき耐性を有するコンパクト・低電力不揮発TCAM の構成
松永翔雲, 望月明, 羽生貴弘
2014年電子情報通信学会総合大会 2014年3月
-
MTJ 素子を用いた不揮発ロジックインメモリLSI の展望
羽生貴弘, 松永翔雲, 鈴木大輔, 望月明, 夏井雅典
2014年電子情報通信学会総合大会 2014年3月
-
MTJ素子を用いた不揮発FPGAの電力効最適化手法
鈴木大輔, 夏井雅典, 望月明, 羽生貴弘
デザインガイア2013 -VLSI設計の新しい大地- 2013年11月
-
MTJ 素子を用いた不揮発ロジックLSI の低電力化に関する一考察
夏井雅典, 荒木敦司, 羽生貴弘
多値論理研究ノート 2013年9月
-
- 国際会議
M. Sihotang, S. Matsunaga, N. Sakimura, R. Nebashi, Y. Tsuji, A. Morioka, T. Sugibayashi, S. Miura, H. Honjo, K. Kinoshita, S. Ikeda, H. Sato, S. Fukami, M. Natsui, T. Endoh, H. Ohno, T. Hanyu
2013 IEEE International Solid-State Circuits Conference (ISSCC), Student Research Preview 2013年2月17日
-
Towards a New Paradigm LSI Based on Nonvolatile Logic-in-Memory Architecture 国際会議
T. Hanyu
The 3rd CSIS International Symposium on Spintronics-based VLSIs 2013年2月1日
-
Standby-Power-Free Fully Parallel TCAM Chip Based on Compact Nonvolatile Logic-in-Memory Cell Structure 国際会議
S. Matsunaga, S. Miura, H. Honjo, K. Kinoshita, S. Ikeda, T. Endoh, H. Ohno, T. Hanyu
The 3rd CSIS International Symposium on Spintronics-based VLSIs 2013年2月1日
-
3端子MTJ素子を用いたコンパクト不揮発LUT回路の構成
林玉輝, 鈴木大輔, 羽生貴弘
第26回多値論理とその応用研究会 2013年1月12日
-
低電圧動作差動論理基本ゲートに関する一考察
樫内清弘, 夏井雅典, 羽生貴弘
第35回多値論理フォーラム 2012年9月15日
-
MTJ/MOS ハイブリッド論理集積回路のVLSI 設計環境に関する検討
夏井雅典, 玉越晃, 羽生貴弘
第35回多値論理フォーラム 2012年9月15日
-
スピンロジック回路による情報処理の高機能化・省電力化
第73回応用物理学会学術講演会 2012年9月
-
遅延情報データベースに基づく高速・高精度非同期NoC 設計・検証CADに関する一考察
渡邉友馬, 松本敦, 羽生貴弘
電気関係学会東北支部連合大会 2012年8月30日
-
低スイッチング電力基本論理ゲートの構成に関する一考察
樫内清弘, 夏井雅典, 羽生貴弘
電気関係学会東北支部連合大会 2012年8月30日
-
Design of an MTJ-Based Variation-Resilient Basic Gate of Differential Logic
Youngkeun Kim, Masanori Natsui, Takahiro Hanyu
電気関係学会東北支部連合大会 2012年8月30日
-
Prospects of Nonvolatile Logic-in-Memory Architecture Using Magnetic Tunnel Junction Devices 国際会議
The CMOS Emerging Technologies conference 2012年7月
-
MTJベース完全並列形不揮発TCAMの設計
松永翔雲, 羽生貴弘
電子情報通信学会「集積回路」研究会 2012年4月23日
-
不揮発論理ゲートに基づく細粒度パイプライン回路の構成
松永翔雲, 羽生貴弘
電子情報通信学会総合大会 2012年3月20日
-
MTJ素子を用いた高密度・低電力不揮発Logic Elementの構成
鈴木 大輔, 羽生貴弘
電子情報通信学会「集積回路」研究会 2012年1月19日
-
VTH補償機能を有するMTJ/MOSハイブリッド電流モードロジックとその最適化
第25回多値論理とその応用研究会 2012年1月7日
-
MTJ/MOSハイブリッド構造に基づく待機電力フリー不揮発演算回路の構成と評価
第25回多値論理とその応用研究会 2012年1月7日
-
故障検出機能を有する2色符号とその非同期双方向リンクへの応用
デザインガイア 2011年11月28日
-
制御回路共有化に基づく非同期細粒度パワーゲーティング手法とその応用
デザインガイア 2011年11月28日
-
MTJ素子を用いた完全並列形高密度不揮発TCAMの構成
SDM研究会 2011年11月10日
-
MTJ素子を用いた待機電力フリー不揮発ロジック基本ゲートの構成
平成23年度電気関係学会東北支部連合大会 2011年8月25日
-
磁壁移動素子を用いた不揮発性論理回路の構成に関する研究
平成23年度電気関係学会東北支部連合大会 2011年8月25日
-
可変抵抗素子を用いたポストプロセスばらつき補償機能付きOTAの検討
平成23年度電気関係学会東北支部連合大会 2011年8月25日
-
不揮発性可変抵抗素子を用いたLSIパラメータばらつき最小化アルゴリズムの検討
平成23年度電気関係学会東北支部連合大会 2011年8月25日
-
不揮発性ロジックインメモリアーキテクチャに基づく高信頼VLSI設計技術
第73回ニューパラダイムコンピューティング研究会 2011年7月30日
-
Instant Power-On Nonvolatile FPGA Based on MOS/MTJ-Hybrid Circuitry 国際会議
GLS-VLSI 2011年5月3日
-
超低電力化を実現する新概念VLSI:不揮発性論理回路技術の展望
第58回春季応用物理関係連合講演会 2011年3月24日
-
転送ボトルネックフリー多値ロジックインメモリVLSIシステムとその応用
姫路工業大学・特別講演. 2001年11月20日
-
Challenge of a Multiple-Valued Technology in Recent Deep-Submicron VLSI 国際会議
IEEE International Symposium on Multiple-Valued Logic 2001年5月24日
-
転送ボトルネックフリー多値ロジックインメモリVLSIシステムとその応用
第4回FeRAM総合調査委員会・特別講演. 2000年11月6日
-
Multiple-Valued Logic-in-Memory VLSI and Its Application 国際会議
9th International Workshop on Post-Binary ULSI Systems 2000年5月26日
-
多値集積回路とその知能集積システムへの応用
豊橋技術科学大学・特別講演. 1998年11月19日
-
多値情報処理の挑戦~ポストバイナリエレクトロニクスを目指して~
NECマイクロエレクトロニクス研究所・特別講演. 1996年4月1日
-
Rule-Programmable Multiple-Valued Matching VLSI Processor 国際会議
3rd International Workshop on Post-Binary ULSI Systems 1994年5月24日
-
Prospects of Multiple-Valued Associative VLSI Processors 国際会議
IEEE Midwest Symposium on Circuits and Systems. 1993年8月16日
-
Device-Model-Based Post-Binary Electronic Systems 国際会議
2nd International Workshop on Post-Binary ULSI Systems 1993年5月29日
-
Beyond-Binary Circuits for Signal Processing 国際会議
1993 IEEE International Solid-State Circuits Conference 1993年2月24日
産業財産権 7
-
完全二重非同期通信システム
羽生貴弘, 高橋知宏
産業財産権の種類: 特許権
-
磁気抵抗効果素子を用いたロジックインメモリ回路
羽生貴弘, 木村啓明
産業財産権の種類: 特許権
-
論理演算回路,論理演算装置および論理演算方法
亀山充隆, 羽生貴弘, 木村啓明, 藤森 敬和, 中村孝, 高須秀視
産業財産権の種類: 特許権
-
論理演算回路および論理演算方法
亀山充隆, 羽生貴弘, 木村啓明, 藤森 敬和, 中村孝, 高須秀視
産業財産権の種類: 特許権
-
論理演算回路および論理演算方法
亀山充隆, 羽生貴弘, 木村啓明, 藤森 敬和, 中村孝, 高須秀視
産業財産権の種類: 特許権
-
Nonvolatile Content Addressable Memory
5,930,161
産業財産権の種類: 特許権
-
Nonvolatile Content Addressable Memory
5,808,929
産業財産権の種類: 特許権
共同研究・競争的資金等の研究課題 32
-
デバイスモデルベーストエレクトロニクス 競争的資金
制度名:Grant-in-Aid for Scientific Research
1986年1月 ~ 継続中
-
不揮発性ロジックインメモリVLSI技術 競争的資金
制度名:Grant-in-Aid for Scientific Research
1986年1月 ~ 継続中
-
多値集積システム 競争的資金
制度名:Grant-in-Aid for Scientific Research
1983年10月 ~ 継続中
-
IoT応用向け高速かつ超低消費電力でダイ・ハードなロジックLSI基盤技術の開発
羽生 貴弘, 夏井 雅典, 米田 友洋, 今井 雅
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research
研究種目:Grant-in-Aid for Scientific Research (A)
研究機関:Tohoku University
2021年4月5日 ~ 2025年3月31日
-
確率的デバイスモデルに基づく量子モンテカルロ計算ハードウェアプラットフォーム構築
鬼沢 直哉, 羽生 貴弘
2021年4月1日 ~ 2025年3月31日
-
スピントロニクスベース高性能・省電力・高信頼IoTセンサノードの基盤研究開発
夏井 雅典, 羽生 貴弘
2021年4月1日 ~ 2025年3月31日
-
CMOS/スピントロニクス融合技術によるAI処理半導体の設計効率化と実証、及び、その応用技術に関する研究開発
提供機関:NEDO
研究機関:Tohoku University, NEC, AISIN Corp.
2022年10月 ~ 2025年3月
-
スピンエッジコンピューティングハードウェア基盤
提供機関:JST:Japan Science and Technology Agency
制度名:CREST: Core Research for Evolutional Science and Technology
研究機関:Tohoku University
2019年10月 ~ 2025年3月
-
脳型コンピューティング向けダーク・シリコンロジックLSIの基盤技術開発
羽生 貴弘, 夏井 雅典, 米田 友洋, 今井 雅, 池田 正二, 鬼沢 直哉, 村口 正和
2016年5月31日 ~ 2021年3月31日
-
高速シリアル通信機構の超低消費電力化に関する研究
米田 友洋, 羽生 貴弘, 今井 雅, 吉瀬 謙二, 齋藤 寛
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research
研究種目:Grant-in-Aid for Scientific Research (A)
研究機関:National Institute of Informatics
2015年4月1日 ~ 2018年3月31日
-
脳型コンピューティング向けダーク・シリコンロジックLSIの基盤技術開発
羽生 貴弘, 米田 友洋, 今井 雅, 鬼沢 直哉
2016年4月1日 ~ 2017年3月31日
-
不揮発性素子を用いたPVTバラつきフリーVLSIシステムの基盤研究
羽生 貴弘, 夏井 雅典
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research
研究種目:Grant-in-Aid for Scientific Research (B)
研究機関:Tohoku University
2010年4月1日 ~ 2014年3月31日
-
多値双方向同時データ転送技術に基づく高速LDPCデコーダVLSIの開発
羽生 貴弘, 望月 明, 松本 敦, 夏井 雅典
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research
研究種目:Grant-in-Aid for Scientific Research (B)
研究機関:Tohoku University
2006年 ~ 2008年
-
不揮発性デバイスに基づくクイックオンVLSIシステムの構成
羽生 貴弘, 松本 敦, 望月 明
2006年 ~ 2007年
-
双方向電流モード多値回路技術に基づく超高速非同期データ転送VLSIの開発
羽生 貴弘, 望月 明
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research
研究種目:Grant-in-Aid for Scientific Research (C)
研究機関:Tohoku University
2003年 ~ 2005年
-
転送ボトルネックフリー多値ロジックインメモリVLSIの開発と応用
羽生 貴弘, 亀山 充隆, 望月 明, 木村 啓明
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research
研究種目:Grant-in-Aid for Scientific Research (B)
研究機関:Tohoku University
2001年 ~ 2004年
-
多値技術に基づく高速データ転送とそのマルチメディアVLSIプロセッサへの応用
羽生 貴弘, 米田 友洋, 川人 祥二, 亀山 充隆
2002年 ~ 2002年
-
低電力性・高信頼性を有する高性能電流モード多値VLSIシステムの実現
羽生 貴弘
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research
研究種目:Grant-in-Aid for Scientific Research (C)
研究機関:Tohoku University
2000年 ~ 2002年
-
配線ボトルネックフリー2線式多値ディジタルコンピューティングVLSIシステム
亀山 充隆, 羽生 貴弘
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research
研究種目:Grant-in-Aid for Scientific Research (B)
研究機関:Tohoku University
2000年 ~ 2002年
-
1トランジスタセル多値連想メモリの試作とその応用
羽生 貴弘, 亀山 充隆, 張山 昌論
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research
研究種目:Grant-in-Aid for Scientific Research (B).
研究機関:Tohoku Univesity
1997年 ~ 2000年
-
高速・低電力電流モード多値算術演算VLSI回路の試作
羽生 貴弘
1998年 ~ 1999年
-
超高並列多値演算集積回路チップファミリの試作とその応用に関する研究
亀山 充隆, 張山 昌論, 羽生 貴弘
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research
研究種目:Grant-in-Aid for Scientific Research (B)
研究機関:TOHOKU UNIVERSITY
1997年 ~ 1999年
-
瞬時応答性を有する知能集積システム用VLSIプロセッサのハイレベルシンセシス
亀山 充隆, 張山 昌論, 羽生 貴弘
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research
研究種目:Grant-in-Aid for Scientific Research (B)
研究機関:TOHOKU UNIVERSITY
1997年 ~ 1999年
-
知能集積システム用多値プロセッサに関する研究
羽生 貴弘, LIN H.C., NG WaiーTung, GULAK Glenn, SMITH Kennet, 亀山 充隆, NG Wai Tung, SMITH Rennet
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research
研究種目:Grant-in-Aid for international Scientific Research
研究機関:TOHOKU UNIVERSITY
1997年 ~ 1998年
-
超並列多値連想メモリに関する研究
羽生 貴弘
1996年 ~ 1996年
-
高安全知能自動車用多値VLSIプロセッサに関する研究
亀山 充隆, でん 小衛, 羽生 貴弘
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research
研究種目:Grant-in-Aid for Scientific Research (B)
研究機関:Tohoku University
1995年 ~ 1996年
-
超高並列演算システムと次世代多値集積化に関する研究
亀山 充隆, 羽生 貴弘
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research
研究種目:Grant-in-Aid for Scientific Research (B)
研究機関:Tohoku University, Graduate School of Information Sciences
1994年 ~ 1996年
-
次世代デバイスに基づく高性能多値VLSIシステムの構成に関する研究
羽生 貴弘
1994年 ~ 1994年
-
ロボットビジョン用特徴抽出VLSIプロセッサシステムの構成に関する研究
羽生 貴弘
1993年 ~ 1993年
-
ポストバイナリULSIシステムに関する研究
亀山 充隆, SILIO Charle, BUTLER Jon T, SMITH Kennet, 笹尾 勤, 羽生 貴弘, 樋口 龍雄, CHARLES B Si, JON T Butler, KENNETH C Sm
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research
研究種目:Grant-in-Aid for international Scientific Research
研究機関:Tohoku University, Graduate School of Information Sciences
1992年 ~ 1993年
-
4値CMOS集積回路に基づく超高速推論ハードウェアエンジンの試作とその応用
樋口 龍雄, 羽生 貴弘, 亀山 充隆
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research
研究種目:Grant-in-Aid for Developmental Scientific Research (B)
研究機関:TOHOKU UNIVERSITY
1991年 ~ 1992年
-
知能ロボット用超高性能多値ス-パ-チップの基礎的研究
樋口 龍雄, 羽生 貴弘, 亀山 充隆
提供機関:Japan Society for the Promotion of Science
制度名:Grants-in-Aid for Scientific Research
研究種目:Grant-in-Aid for General Scientific Research (B)
研究機関:TOHOKU UNIVERSITY
1989年 ~ 1991年
社会貢献活動 1
その他 4
-
高機能・超低消費電力スピンデバイス・ストレージ基盤技術の開発
-
多値2値融合・非同期データ転送に基づく高速・低電力LDPCデコーダLSIの開発
-
TMRロジックに基づく動的再構成可能回路技術に関する研究
-
不揮発性ロジックに基づく瞬時再構成可能VLSIの開発